From 33fb779cc42cff42a6f57637185500f9c41cc46e Mon Sep 17 00:00:00 2001 From: Kevin Heifner Date: Thu, 7 Mar 2024 08:57:26 -0600 Subject: [PATCH] GH-2125 Add additional logging --- libraries/chain/hotstuff/finalizer.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/libraries/chain/hotstuff/finalizer.cpp b/libraries/chain/hotstuff/finalizer.cpp index 4e401197d4..788979da6d 100644 --- a/libraries/chain/hotstuff/finalizer.cpp +++ b/libraries/chain/hotstuff/finalizer.cpp @@ -26,6 +26,7 @@ finalizer::vote_result finalizer::decide_vote(const finality_core& core, const b res.liveness_check = core.latest_qc_block_timestamp() > fsi.lock.timestamp; if (!res.liveness_check) { + ilog("liveness check failed for ${bn}: ${c} <= ${l}", ("bn", core.current_block_num())("c", core.latest_qc_block_timestamp())("l", fsi.lock.timestamp)); // Safety check : check if this proposal extends the proposal we're locked on res.safety_check = core.extends(fsi.lock.block_id); if (!res.safety_check) {