From 9cc8ac0ba84a2874a45339afce1dbe1a7e578738 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 11:29:08 +0100 Subject: [PATCH 1/6] chore(NDK): update DMA Medusa submodule --- extra/dma-medusa | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/extra/dma-medusa b/extra/dma-medusa index 3ce18568f..472d80fb6 160000 --- a/extra/dma-medusa +++ b/extra/dma-medusa @@ -1 +1 @@ -Subproject commit 3ce18568f1d76685dc8cb9a529d2226c28854508 +Subproject commit 472d80fb65556de235f127cebff6165c387f8dc3 From 13b3ffc1045a288df89f720447399705cf87faa7 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 11:39:15 +0100 Subject: [PATCH 2/6] fix(jenkins-dma-medusa): fix name of ver_settings_new.py file --- tests/jenkins/ver_dma_medusa_new.jenkins | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/jenkins/ver_dma_medusa_new.jenkins b/tests/jenkins/ver_dma_medusa_new.jenkins index 499a46309..800617d8d 100644 --- a/tests/jenkins/ver_dma_medusa_new.jenkins +++ b/tests/jenkins/ver_dma_medusa_new.jenkins @@ -9,7 +9,7 @@ // FORMAT: // [ 'name' , 'path_to_ver' , 'fdo_file.fdo' , 'test_pkg.sv/.vhd' , 'settings.py' ] def COMPONENTS = [\ - ['Basic Tests' , 'extra/dma-medusa/ver' , 'top_level.fdo' , 'tbench/test_pkg.sv' , 'ver_setings_new.py' ],\ + ['Basic Tests' , 'extra/dma-medusa/ver' , 'top_level.fdo' , 'tbench/test_pkg.sv' , 'ver_settings_new.py' ],\ ] // ///////////////////////////////////////////////////////////////////////////// From 0dd93a48994bec7f9e67e3d0794c0d66b6c00309 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 11:53:57 +0100 Subject: [PATCH 3/6] chore(NDK): update NFB-200G2QL submodule --- extra/nfb-200g2ql | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/extra/nfb-200g2ql b/extra/nfb-200g2ql index bdcebd102..0c4ad0e89 160000 --- a/extra/nfb-200g2ql +++ b/extra/nfb-200g2ql @@ -1 +1 @@ -Subproject commit bdcebd102c3ef0d8bd9494a5c0de852186e172d9 +Subproject commit 0c4ad0e896fbdb314e2815cdaa5f93578a59568e From 0762563ee04c900b814f7f73d2be0b5f3760e7da Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 12:10:15 +0100 Subject: [PATCH 4/6] chore(NDK): remove old HW tests --- apps/minimal/build/agi-fh400g/jenkins/100g4.jenkinsfile | 9 --------- apps/minimal/build/agi-fh400g/jenkins/10g8.jenkinsfile | 9 --------- apps/minimal/build/agi-fh400g/jenkins/200g2.jenkinsfile | 9 --------- apps/minimal/build/agi-fh400g/jenkins/25g8.jenkinsfile | 9 --------- apps/minimal/build/agi-fh400g/jenkins/400g1.jenkinsfile | 9 --------- apps/minimal/build/agi-fh400g/jenkins/50g8.jenkinsfile | 9 --------- apps/minimal/build/fb2cghh/jenkins/100g2.jenkinsfile | 8 -------- apps/minimal/build/ia-420f/jenkins/100g2.jenkinsfile | 9 --------- apps/minimal/build/n6010/jenkins/100g2.jenkinsfile | 8 -------- apps/minimal/build/n6010/jenkins/10g8.jenkinsfile | 8 -------- apps/minimal/build/n6010/jenkins/25g8.jenkinsfile | 8 -------- apps/minimal/build/nfb-200g2ql/jenkins/100g2.jenkinsfile | 8 -------- 12 files changed, 103 deletions(-) diff --git a/apps/minimal/build/agi-fh400g/jenkins/100g4.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/100g4.jenkinsfile index ba284c8fc..33e96a3c5 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/100g4.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/100g4.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-100g4', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '100g4', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-100g4.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/agi-fh400g/jenkins/10g8.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/10g8.jenkinsfile index b7fc187ff..415a3afb1 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/10g8.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/10g8.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-10g8', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '10g8', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-10g8.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/agi-fh400g/jenkins/200g2.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/200g2.jenkinsfile index 87fe62e7f..a6fb5c4dc 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/200g2.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/200g2.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-200g2', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '200g2', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-200g2.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/agi-fh400g/jenkins/25g8.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/25g8.jenkinsfile index d1382a9ad..82ffd8549 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/25g8.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/25g8.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-25g8', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '25g8', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-25g8.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/agi-fh400g/jenkins/400g1.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/400g1.jenkinsfile index a88d9a32e..32258ccd4 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/400g1.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/400g1.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-400g1', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '400g1', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-400g1.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/agi-fh400g/jenkins/50g8.jenkinsfile b/apps/minimal/build/agi-fh400g/jenkins/50g8.jenkinsfile index a25a6fef6..37ae995d3 100644 --- a/apps/minimal/build/agi-fh400g/jenkins/50g8.jenkinsfile +++ b/apps/minimal/build/agi-fh400g/jenkins/50g8.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'agi-fh400g-minimal-50g8', lastBuilds: 2, ) - -stagesNdkTest( - card: '400g1', - mode: '50g8', - project: 'app-minimal', - fw_name: 'agi-fh400g-minimal-50g8.nfw', - testbranch: 'friedl-feat-400g1' -) - diff --git a/apps/minimal/build/fb2cghh/jenkins/100g2.jenkinsfile b/apps/minimal/build/fb2cghh/jenkins/100g2.jenkinsfile index 06dc451d4..148efc513 100644 --- a/apps/minimal/build/fb2cghh/jenkins/100g2.jenkinsfile +++ b/apps/minimal/build/fb2cghh/jenkins/100g2.jenkinsfile @@ -10,11 +10,3 @@ stagesFirmware( artifacts: 'fb2cghh-minimal-100g2', lastBuilds: 2, ) - -stagesNdkTest( - card: 'tivoli', - mode: '100g2', - project: 'minimal', - fw_name: 'fb2cghh-minimal-100g2.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) diff --git a/apps/minimal/build/ia-420f/jenkins/100g2.jenkinsfile b/apps/minimal/build/ia-420f/jenkins/100g2.jenkinsfile index c904b0b87..a7726899a 100644 --- a/apps/minimal/build/ia-420f/jenkins/100g2.jenkinsfile +++ b/apps/minimal/build/ia-420f/jenkins/100g2.jenkinsfile @@ -11,12 +11,3 @@ stagesFirmware( artifacts: 'ia-420f-minimal-100g2', lastBuilds: 2, ) - -stagesNdkTest( - card: 'ia-420f', - mode: '100g2', - project: 'minimal', - fw_name: 'ia-420f-minimal-100g2.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) - diff --git a/apps/minimal/build/n6010/jenkins/100g2.jenkinsfile b/apps/minimal/build/n6010/jenkins/100g2.jenkinsfile index b1688d262..4b23d5d9f 100644 --- a/apps/minimal/build/n6010/jenkins/100g2.jenkinsfile +++ b/apps/minimal/build/n6010/jenkins/100g2.jenkinsfile @@ -11,11 +11,3 @@ stagesFirmware( artifacts: 'n6010-minimal-100g2', lastBuilds: 2, ) - -stagesNdkTest( - card: 'n6010', - mode: '100g2', - project: 'minimal', - fw_name: 'n6010-minimal-100g2.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) diff --git a/apps/minimal/build/n6010/jenkins/10g8.jenkinsfile b/apps/minimal/build/n6010/jenkins/10g8.jenkinsfile index 9ea356a74..46dd88cdf 100644 --- a/apps/minimal/build/n6010/jenkins/10g8.jenkinsfile +++ b/apps/minimal/build/n6010/jenkins/10g8.jenkinsfile @@ -11,11 +11,3 @@ stagesFirmware( artifacts: 'n6010-minimal-10g8', lastBuilds: 2, ) - -stagesNdkTest( - card: 'n6010', - mode: '10g8', - project: 'minimal', - fw_name: 'n6010-minimal-10g8.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) diff --git a/apps/minimal/build/n6010/jenkins/25g8.jenkinsfile b/apps/minimal/build/n6010/jenkins/25g8.jenkinsfile index 46dc4a9f6..719e1f18c 100644 --- a/apps/minimal/build/n6010/jenkins/25g8.jenkinsfile +++ b/apps/minimal/build/n6010/jenkins/25g8.jenkinsfile @@ -11,11 +11,3 @@ stagesFirmware( artifacts: 'n6010-minimal-25g8', lastBuilds: 2, ) - -stagesNdkTest( - card: 'n6010', - mode: '25g8', - project: 'minimal', - fw_name: 'n6010-minimal-25g8.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) diff --git a/apps/minimal/build/nfb-200g2ql/jenkins/100g2.jenkinsfile b/apps/minimal/build/nfb-200g2ql/jenkins/100g2.jenkinsfile index b1b2ceadc..0e1d146bb 100644 --- a/apps/minimal/build/nfb-200g2ql/jenkins/100g2.jenkinsfile +++ b/apps/minimal/build/nfb-200g2ql/jenkins/100g2.jenkinsfile @@ -10,11 +10,3 @@ stagesFirmware( artifacts: 'nfb-200g2ql-minimal-100g2', lastBuilds: 2, ) - -stagesNdkTest( - card: '200g2ql', - mode: '100g2', - project: 'nic', - fw_name: 'nfb-200g2ql-minimal-100g2.nfw', - testbranch: 'friedl-feat-ndk_app_minimal' -) From 6c042d70a64e8c62df7eab892ed57b5d5e892986 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 14:03:16 +0100 Subject: [PATCH 5/6] feat(TX_MAC_LITE): add support for dropping when the link is down The goal is to unify the TX MAC behavior on different FPGA chips. Now, if the ETH link is down, the packets are already dropped in the TX_MAC_LITE. This is especially useful when running ndp-generate immediately. In the event of a sudden outage of a heavily loaded link (full FIFO in TX_MAC_LITE) with Intel FPGAs, theoretically, the entire pipeline can still stop. --- comp/nic/mac_lite/tx_mac_lite/Modules.tcl | 2 + .../tx_mac_lite/comp/addr_dec/addr_dec.vhd | 19 ++++++---- comp/nic/mac_lite/tx_mac_lite/tx_mac_lite.vhd | 37 +++++++++++++++---- 3 files changed, 44 insertions(+), 14 deletions(-) diff --git a/comp/nic/mac_lite/tx_mac_lite/Modules.tcl b/comp/nic/mac_lite/tx_mac_lite/Modules.tcl index 0f5f86a88..e741706f5 100644 --- a/comp/nic/mac_lite/tx_mac_lite/Modules.tcl +++ b/comp/nic/mac_lite/tx_mac_lite/Modules.tcl @@ -11,6 +11,7 @@ set MFB_PD_ASFIFO_BASE "$OFM_PATH/comp/mfb_tools/storage/pd_asfifo" set MFB_FRAME_LNG_BASE "$OFM_PATH/comp/mfb_tools/logic/frame_lng" set MFB_RECONFIG_BASE "$OFM_PATH/comp/mfb_tools/flow/reconfigurator" set MFB_CX_STREAM_BASE "$OFM_PATH/comp/mfb_tools/logic/crossbarx_stream" +set ASYNC_RESET_BASE "$OFM_PATH/comp/base/async/reset" set LCOMP_BASE "$ENTITY_BASE/comp" set PACKAGES "$PACKAGES $PKG_BASE/math_pack.vhd" @@ -27,6 +28,7 @@ set COMPONENTS [list \ [list "SPACER" $MFB_CX_STREAM_BASE "FULL" ] \ [list "STAT_UNIT" "$LCOMP_BASE/stat_unit" "FULL" ] \ [list "ADDR_DEC" "$LCOMP_BASE/addr_dec" "FULL" ] \ + [list "ASYNC_RESET" $ASYNC_RESET_BASE "FULL" ] \ ] # Source files for implemented component diff --git a/comp/nic/mac_lite/tx_mac_lite/comp/addr_dec/addr_dec.vhd b/comp/nic/mac_lite/tx_mac_lite/comp/addr_dec/addr_dec.vhd index 6849387a0..1482e46a9 100644 --- a/comp/nic/mac_lite/tx_mac_lite/comp/addr_dec/addr_dec.vhd +++ b/comp/nic/mac_lite/tx_mac_lite/comp/addr_dec/addr_dec.vhd @@ -41,7 +41,8 @@ entity TX_MAC_LITE_ADDR_DEC is -- CONTROL OUTPUT INTERFACE (CLK) CTRL_STROBE_CNT : out std_logic; CTRL_RESET_CNT : out std_logic; - CTRL_OBUF_EN : out std_logic + CTRL_OBUF_EN : out std_logic; + CTRL_LD_DISCARD_DIS : out std_logic ); end entity; @@ -96,6 +97,7 @@ architecture FULL of TX_MAC_LITE_ADDR_DEC is signal cmd_reset_cnt : std_logic; signal cmd_reset_cnt_reg : std_logic; signal obuf_en_reg : std_logic; + signal ld_discard_dis_reg : std_logic; signal obuf_en_reg_32 : std_logic_vector(31 downto 0); signal status_disable_crc : std_logic; signal status_reg : std_logic_vector(6 downto 0) := "1010000"; @@ -172,7 +174,7 @@ begin status_disable_crc <= '1' when (CRC_INSERTION_EN = False) else '0'; - obuf_en_reg_32 <= (31 downto 1 => '0') & obuf_en_reg; + obuf_en_reg_32 <= (31 downto 9 => '0') & ld_discard_dis_reg & "0000000" & obuf_en_reg; status_reg_32 <= (31 downto 7 => '0') & ETH_SPEED_CODE & "00" & status_disable_crc & obuf_en_reg; mi_s_drd_mux_p : process(all) @@ -261,9 +263,11 @@ begin begin if (rising_edge(CLK)) then if (RESET = '1') then - obuf_en_reg <= '0'; + obuf_en_reg <= '0'; + ld_discard_dis_reg <= '0'; -- link down discard is enabled by default elsif (obuf_en_reg_we = '1') then - obuf_en_reg <= mi_s_dwr(0); + obuf_en_reg <= mi_s_dwr(0); + ld_discard_dis_reg <= mi_s_dwr(8); end if; end if; end process; @@ -272,8 +276,9 @@ begin -- OUTPUTS ASSIGMENTS -- ========================================================================= - CTRL_STROBE_CNT <= cmd_strobe_cnt_reg; - CTRL_RESET_CNT <= cmd_reset_cnt_reg; - CTRL_OBUF_EN <= obuf_en_reg; + CTRL_STROBE_CNT <= cmd_strobe_cnt_reg; + CTRL_RESET_CNT <= cmd_reset_cnt_reg; + CTRL_OBUF_EN <= obuf_en_reg; + CTRL_LD_DISCARD_DIS <= ld_discard_dis_reg; end architecture; diff --git a/comp/nic/mac_lite/tx_mac_lite/tx_mac_lite.vhd b/comp/nic/mac_lite/tx_mac_lite/tx_mac_lite.vhd index 9998696ee..ba11f69ee 100644 --- a/comp/nic/mac_lite/tx_mac_lite/tx_mac_lite.vhd +++ b/comp/nic/mac_lite/tx_mac_lite/tx_mac_lite.vhd @@ -155,9 +155,10 @@ entity TX_MAC_LITE is TX_MFB_DST_RDY : in std_logic; -- ===================================================================== - -- OUTPUT LINK STATUS INTERFACE (TX_CLK) + -- CONTROL/STATUS INTERFACE -- ===================================================================== - + -- ETH link UP status (ASYNC) + ETH_LINK_UP : in std_logic := '1'; -- Links status (TX_CLK): Active during frame transmission OUTGOING_FRAME : out std_logic ); @@ -200,6 +201,10 @@ architecture FULL of TX_MAC_LITE is constant CRC_ASFIFO_RAM_TYPE : string := "AUTO"; constant CRC_ASFIFO_AFULL_TH : natural := fce_crcfifo_afull_threshold(MD_REGION_SIZE,MD_BLOCK_SIZE,CRC_END_IMPL); + signal eth_link_down_sync : std_logic; + signal ctrl_ld_discard_dis : std_logic; + signal ctrl_ld_discard : std_logic; + signal rx_mfb_sof_pos_fix : std_logic_vector(RX_REGIONS*max(1,log2(RX_REGION_SIZE))-1 downto 0); signal rc_mfb_data : std_logic_vector(MD_REGIONS*MD_REGION_SIZE*MD_BLOCK_SIZE*MD_ITEM_WIDTH-1 downto 0); @@ -225,6 +230,7 @@ architecture FULL of TX_MAC_LITE is signal fl_mfb_frame_len_arr : slv_array_t(MD_REGIONS-1 downto 0)(LEN_WIDTH-1 downto 0); signal fl_mfb_undersize : std_logic_vector(MD_REGIONS-1 downto 0); signal fl_mfb_discard : std_logic_vector(MD_REGIONS-1 downto 0); + signal fl_mfb_discard_vld : std_logic_vector(MD_REGIONS-1 downto 0); signal crc_mfb_src_rdy : std_logic; signal crc_mfb_dst_rdy : std_logic; @@ -300,6 +306,21 @@ architecture FULL of TX_MAC_LITE is begin + eth_link_up_sync_i : entity work.ASYNC_RESET + generic map ( + TWO_REG => false, + OUT_REG => true, + REPLICAS => 1 + ) + port map ( + CLK => RX_CLK, + ASYNC_RST => (not ETH_LINK_UP), + OUT_RST(0) => eth_link_down_sync + ); + + -- when ETH link is down, discard all packets by default + ctrl_ld_discard <= eth_link_down_sync and not ctrl_ld_discard_dis; + -- ========================================================================= -- MFB RECONFIGURATOR -- ========================================================================= @@ -392,8 +413,9 @@ begin fl_mfb_frame_len_arr <= slv_array_deser(fl_mfb_frame_len,MD_REGIONS,LEN_WIDTH); fl_mfb_discard_g : for r in 0 to MD_REGIONS-1 generate - fl_mfb_undersize(r) <= '1' when (unsigned(fl_mfb_frame_len_arr(r)) < FRAME_LEN_MIN) else '0'; - fl_mfb_discard(r) <= fl_mfb_undersize(r) and fl_mfb_eof(r) and fl_mfb_src_rdy; + fl_mfb_undersize(r) <= '1' when (unsigned(fl_mfb_frame_len_arr(r)) < FRAME_LEN_MIN) else '0'; + fl_mfb_discard(r) <= fl_mfb_undersize(r) or ctrl_ld_discard; + fl_mfb_discard_vld(r) <= fl_mfb_discard(r) and fl_mfb_eof(r) and fl_mfb_src_rdy; end generate; fl_mfb_dst_rdy <= fd_mfb_dst_rdy and crc_mfb_dst_rdy; @@ -408,7 +430,7 @@ begin fd_mfb_sof_pos <= fl_mfb_sof_pos; fd_mfb_eof_pos <= fl_mfb_eof_pos; fd_mfb_frame_len <= fl_mfb_frame_len; - fd_mfb_discard <= fl_mfb_discard; + fd_mfb_discard <= fl_mfb_discard_vld; end if; end if; end process; @@ -461,7 +483,7 @@ begin -- --------------------------------------------------------------------- cd_fifo_wr <= (or fl_mfb_eof) and crc_mfb_src_rdy; - cd_fifo_di <= fl_mfb_eof and not fl_mfb_undersize; + cd_fifo_di <= fl_mfb_eof and not fl_mfb_discard; process (RX_CLK) begin @@ -872,7 +894,8 @@ begin CTRL_STROBE_CNT => ctrl_strobe_cnt, CTRL_RESET_CNT => ctrl_reset_cnt, - CTRL_OBUF_EN => ctrl_obuf_en + CTRL_OBUF_EN => ctrl_obuf_en, + CTRL_LD_DISCARD_DIS => ctrl_ld_discard_dis ); end architecture; From 9aa4e01b3b51e14065e9520714c74cc118f149de Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Fri, 13 Dec 2024 14:13:09 +0100 Subject: [PATCH 6/6] feat(Network-Mod-Logic): connect RX ETH link status to TX_MAC_LITE module --- .../eth/network_mod/comp/network_mod_logic/network_mod_logic.vhd | 1 + 1 file changed, 1 insertion(+) diff --git a/core/comp/eth/network_mod/comp/network_mod_logic/network_mod_logic.vhd b/core/comp/eth/network_mod/comp/network_mod_logic/network_mod_logic.vhd index 426e8ef7e..781e7462c 100644 --- a/core/comp/eth/network_mod/comp/network_mod_logic/network_mod_logic.vhd +++ b/core/comp/eth/network_mod/comp/network_mod_logic/network_mod_logic.vhd @@ -407,6 +407,7 @@ begin TX_MFB_SRC_RDY => TX_CORE_MFB_SRC_RDY(ch), TX_MFB_DST_RDY => TX_CORE_MFB_DST_RDY(ch), + ETH_LINK_UP => RX_LINK_UP(ch), OUTGOING_FRAME => ACTIVITY_TX(ch) ); else generate