From f5adaf0ca14717c6081bb029ccc84117798b8d0d Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sat, 26 Oct 2024 11:08:29 -0700 Subject: [PATCH 01/19] Work in progress: hierarchical support in rebuffering Signed-off-by: andyfox-rushc --- src/rsz/src/EstimateWireParasitics.cc | 9 +++ src/rsz/src/Rebuffer.cc | 93 +++++++++++++++++++++++---- src/rsz/src/RepairSetup.cc | 17 ++++- src/rsz/src/RepairSetup.hh | 2 +- src/rsz/src/SteinerTree.cc | 23 ++++++- 5 files changed, 127 insertions(+), 17 deletions(-) diff --git a/src/rsz/src/EstimateWireParasitics.cc b/src/rsz/src/EstimateWireParasitics.cc index 94754f98219..da53b20d1e3 100644 --- a/src/rsz/src/EstimateWireParasitics.cc +++ b/src/rsz/src/EstimateWireParasitics.cc @@ -743,6 +743,15 @@ bool Resizer::isPad(const Instance* inst) const void Resizer::parasiticsInvalid(const Net* net) { + + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + db_network_ -> staToDb(net, db_net, db_modnet); + if (db_modnet){ + printf("Error -- cannot update parastics from a db modnet\n"); + exit(0); + } + if (haveEstimatedParasitics()) { debugPrint(logger_, RSZ, diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index a7ed7131926..54506a340ed 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -66,6 +66,11 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) { int inserted_buffer_count = 0; Net* net; + + Instance* parent = db_network_ -> getOwningInstanceParent(const_cast(drvr_pin)); + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + if (network_->isTopLevelPort(drvr_pin)) { net = network_->net(network_->term(drvr_pin)); LibertyCell* buffer_cell = resizer_->buffer_lowest_drive_; @@ -73,9 +78,15 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) LibertyPort* input; buffer_cell->bufferPorts(input, drvr_port_); } else { + + db_network_ -> net(drvr_pin, db_net, db_modnet); + if (db_modnet){ + printf("Rebuffering from a mod net %s\n", db_modnet -> getName()); + } net = network_->net(drvr_pin); drvr_port_ = network_->libertyPort(drvr_pin); } + if (drvr_port_ && net // Verilog connects by net name, so there is no way to distinguish the @@ -83,6 +94,7 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) && !hasTopLevelOutputPort(net)) { corner_ = sta_->cmdCorner(); BufferedNetPtr bnet = resizer_->makeBufferedNet(drvr_pin, corner_); + if (bnet) { bool debug = (drvr_pin == resizer_->debug_pin_); if (debug) { @@ -116,7 +128,14 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) } if (best_option) { debugPrint(logger_, RSZ, "rebuffer", 2, "best option {}", best_index); - inserted_buffer_count = rebufferTopDown(best_option, net, 1); + if (db_modnet){ + printf("Mod net name %s Db Net Name %s net name %s\n", + db_modnet -> getName(), + db_net -> getName().c_str(), + db_network_ -> name(net) + ); + } + inserted_buffer_count = rebufferTopDown(best_option, net, 1,parent ); if (inserted_buffer_count > 0) { rebuffer_net_count_++; debugPrint(logger_, @@ -437,16 +456,22 @@ float RepairSetup::bufferInputCapacitance(LibertyCell* buffer_cell, int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, Net* net, - int level) + int level, + Instance* parent_in) { + Instance* parent = parent_in; //db_network_->topInstance(); switch (choice->type()) { case BufferedNetType::buffer: { - Instance* parent = db_network_->topInstance(); - string buffer_name = resizer_->makeUniqueInstName("rebuffer"); + + std::string buffer_name = resizer_-> makeUniqueInstName("rebuffer"); + Net* net2 = resizer_->makeUniqueNet(); + + LibertyCell* buffer_cell = choice->bufferCell(); Instance* buffer = resizer_->makeBuffer( buffer_cell, buffer_name.c_str(), parent, choice->location()); + resizer_->level_drvr_vertices_valid_ = false; LibertyPort *input, *output; buffer_cell->bufferPorts(input, output); @@ -463,25 +488,62 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, sdc_network_->pathName(net2)); sta_->connectPin(buffer, input, net); sta_->connectPin(buffer, output, net2); - int buffer_count = rebufferTopDown(choice->ref(), net2, level + 1); - resizer_->parasiticsInvalid(net); - resizer_->parasiticsInvalid(net2); + int buffer_count = rebufferTopDown(choice->ref(), net2, level + 1,parent); + odb::dbNet* db_net=nullptr; + odb::dbModNet* db_modnet=nullptr; + db_network_ -> staToDb(net,db_net, db_modnet); + + + resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); + + db_network_ -> staToDb(net2,db_net, db_modnet); + resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); return buffer_count + 1; } + case BufferedNetType::wire: debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}wire", "", level); - return rebufferTopDown(choice->ref(), net, level + 1); + return rebufferTopDown(choice->ref(), net, level + 1,parent); + case BufferedNetType::junction: { debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}junction", "", level); - return rebufferTopDown(choice->ref(), net, level + 1) - + rebufferTopDown(choice->ref2(), net, level + 1); + return rebufferTopDown(choice->ref(), net, level + 1,parent) + + rebufferTopDown(choice->ref2(), net, level + 1,parent); } case BufferedNetType::load: { + + odb::dbNet* db_net=nullptr; + odb::dbModNet* db_modnet=nullptr; + db_network_ -> staToDb(net,db_net, db_modnet); + + const Pin* load_pin = choice->loadPin(); + + //only access at dbnet level + Net* load_net = network_->net(load_pin); + + dbNet* db_load_net; + odb::dbModNet* db_mod_load_net; + db_network_->staToDb(load_net, db_load_net, db_mod_load_net); + (void) db_load_net; + + if (load_net != net) { Instance* load_inst = db_network_->instance(load_pin); Port* load_port = db_network_->port(load_pin); + + // needed. + odb::dbITerm* load_iterm = nullptr; + odb::dbBTerm* load_bterm = nullptr; + odb::dbModITerm* load_moditerm = nullptr; + odb::dbModBTerm* load_modbterm = nullptr; + db_network_->staToDb( + load_pin, load_iterm, load_bterm, + load_moditerm, load_modbterm); + + + debugPrint(logger_, RSZ, "rebuffer", @@ -490,10 +552,15 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, "", level, sdc_network_->pathName(load_pin), - sdc_network_->pathName(net)); + sdc_network_->pathName(load_net)); sta_->disconnectPin(const_cast(load_pin)); - sta_->connectPin(load_inst, load_port, net); - resizer_->parasiticsInvalid(load_net); + + + //prepare for hierarchy + load_iterm -> connect(db_net); + //sta_->connectPin(load_inst, load_port, net); + resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); + //resizer_->parasiticsInvalid(load_net); } return 0; } diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 10cee64f30e..2e9ab0349c1 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -54,7 +54,15 @@ #include "sta/TimingArc.hh" #include "sta/Units.hh" #include "utl/Logger.h" - +#include "sta/VerilogWriter.hh" + +/*void +writeVerilog(const char *filename, + bool sort, + bool include_pwr_gnd, + sta::CellSeq *remove_cells, + sta::Network *network); +*/ namespace rsz { using std::max; @@ -586,6 +594,11 @@ bool RepairSetup::repairPath(PathRef& path, rebuffer_count); inserted_buffer_count_ += rebuffer_count; changed = true; + //HACK skip any more noise + //write out db_network to verilog for test + // sta::CellSeq unused; + // writeVerilog("debug.txt",false,false,&unused, db_network_); + return true; break; } } @@ -603,7 +616,7 @@ bool RepairSetup::repairPath(PathRef& path, if (!skip_buffering) { // Don't split loads on low fanout nets. - if (fanout > split_load_min_fanout_ && !tristate_drvr + if (fanout > 1000 /*split_load_min_fanout_*/ && !tristate_drvr && !resizer_->dontTouch(net) && !db_net->isConnectedByAbutment()) { const int init_buffer_count = inserted_buffer_count_; splitLoads(drvr_path, drvr_index, path_slack, &expanded); diff --git a/src/rsz/src/RepairSetup.hh b/src/rsz/src/RepairSetup.hh index f27dcd11173..2a1e63dde54 100644 --- a/src/rsz/src/RepairSetup.hh +++ b/src/rsz/src/RepairSetup.hh @@ -208,7 +208,7 @@ class RepairSetup : public sta::dbStaState int rebuffer(const Pin* drvr_pin); BufferedNetSeq rebufferBottomUp(const BufferedNetPtr& bnet, int level); - int rebufferTopDown(const BufferedNetPtr& choice, Net* net, int level); + int rebufferTopDown(const BufferedNetPtr& choice, Net* net, int level,Instance* parent); BufferedNetSeq addWireAndBuffer(const BufferedNetSeq& Z, const BufferedNetPtr& bnet_wire, int level); diff --git a/src/rsz/src/SteinerTree.cc b/src/rsz/src/SteinerTree.cc index 469be630d24..70fc2524742 100644 --- a/src/rsz/src/SteinerTree.cc +++ b/src/rsz/src/SteinerTree.cc @@ -64,10 +64,31 @@ static void connectedPins(const Net* net, // Returns nullptr if net has less than 2 pins or any pin is not placed. SteinerTree* Resizer::makeSteinerTree(const Pin* drvr_pin) { + Network* sdc_network = network_->sdcNetwork(); + + + /* + Handle hierarchy. Make sure all traversal on dbNets. + */ + odb::dbITerm* iterm; + odb::dbBTerm* bterm; + odb::dbModITerm* moditerm; + odb::dbModBTerm* modbterm; + + db_network_ -> staToDb(drvr_pin, iterm, bterm, moditerm, modbterm); + + odb::dbNet* db_net; + odb::dbModNet* db_mod_net; + db_network_ -> net(drvr_pin, db_net, db_mod_net); + + Net* net = network_->isTopLevelPort(drvr_pin) ? network_->net(network_->term(drvr_pin)) - : network_->net(drvr_pin); + //original code, could retrun a mod net : network_->net(drvr_pin); + :db_network_ -> dbToSta(db_net); + + debugPrint(logger_, RSZ, "steiner", 1, "Net {}", sdc_network->pathName(net)); SteinerTree* tree = new SteinerTree(drvr_pin, this); Vector& pinlocs = tree->pinlocs(); From 8fd68e2cee6bc81ef8365460aedf004ba2009e99 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sat, 26 Oct 2024 15:20:57 -0700 Subject: [PATCH 02/19] wip on repair setup4 with hierarchy Signed-off-by: andyfox-rushc --- src/odb/include/odb/db.h | 2 + src/odb/src/db/dbModNet.cpp | 13 +++ src/rsz/src/Rebuffer.cc | 144 ++++++++++++++++++++++++---- src/rsz/src/Resizer.cc | 7 +- src/rsz/test/repair_setup4_flat.tcl | 39 ++++++++ src/rsz/test/repair_setup4_flat.v | 40 ++++++++ src/rsz/test/repair_setup4_hier.tcl | 39 ++++++++ src/rsz/test/repair_setup4_hier.v | 54 +++++++++++ 8 files changed, 320 insertions(+), 18 deletions(-) create mode 100644 src/rsz/test/repair_setup4_flat.tcl create mode 100644 src/rsz/test/repair_setup4_flat.v create mode 100644 src/rsz/test/repair_setup4_hier.tcl create mode 100644 src/rsz/test/repair_setup4_hier.v diff --git a/src/odb/include/odb/db.h b/src/odb/include/odb/db.h index 6bca3ab1ae8..f2b32864f77 100644 --- a/src/odb/include/odb/db.h +++ b/src/odb/include/odb/db.h @@ -8149,8 +8149,10 @@ class dbModNet : public dbObject dbSet getBTerms(); const char* getName() const; + void reName(const char* new_name); static dbModNet* create(dbModule* parentModule, const char* name); static void destroy(dbModNet*); + // User Code End dbModNet }; diff --git a/src/odb/src/db/dbModNet.cpp b/src/odb/src/db/dbModNet.cpp index ee1d69adefc..3eb16c0b689 100644 --- a/src/odb/src/db/dbModNet.cpp +++ b/src/odb/src/db/dbModNet.cpp @@ -227,6 +227,19 @@ const char* dbModNet::getName() const return obj->_name; } +// +//Support for renaming hierarchical nets +// +void dbModNet::reName(const char* new_name) +{ + _dbModNet* obj = (_dbModNet*) this; + delete(obj -> _name); + obj -> _name = strdup(new_name); + _dbBlock* block = (_dbBlock*) obj->getOwner(); + _dbModule* parent = block->_module_tbl->getPtr(obj->_parent); + parent->_modnet_hash[new_name] = obj->getOID(); +} + dbModNet* dbModNet::create(dbModule* parentModule, const char* name) { // give illusion of scoping. diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index 54506a340ed..043aa4823ea 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -80,6 +80,7 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) } else { db_network_ -> net(drvr_pin, db_net, db_modnet); + if (db_modnet){ printf("Rebuffering from a mod net %s\n", db_modnet -> getName()); } @@ -135,7 +136,47 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) db_network_ -> name(net) ); } - inserted_buffer_count = rebufferTopDown(best_option, net, 1,parent ); + + // + //printf("Rebuffering from top down with this tree\n"); + // + + // + //get the modnet driver + // + odb::dbITerm* drvr_op_iterm = nullptr; + odb::dbBTerm* drvr_op_bterm = nullptr; + odb::dbModITerm* drvr_op_moditerm = nullptr; + odb::dbModBTerm* drvr_op_modbterm = nullptr; + db_network_->staToDb( + drvr_pin, + drvr_op_iterm, + drvr_op_bterm, + drvr_op_moditerm, + drvr_op_modbterm + ); + + if (db_modnet) + assert(drvr_op_iterm); + + if (db_net && db_modnet){ + //as we move the modnet and dbnet around we might get a clash + //so we have to uniquify the modnet name + printf("Interesting == buffer input is both dbnet and dbmodnet\n"); + printf("Name %s and %s\n",db_net -> getName().c_str(), db_modnet -> getName()); + printf("Need to change mod net name !\n"); + std::string new_name = resizer_ -> makeUniqueNetName(); + printf("Changing mod net name from %s to %s\n", + db_modnet -> getName(), + new_name.c_str()); + db_modnet -> reName(new_name.c_str()); + + } + + inserted_buffer_count = rebufferTopDown(best_option, + db_network_ -> dbToSta(db_net), 1,parent, + drvr_op_iterm, + db_modnet ); if (inserted_buffer_count > 0) { rebuffer_net_count_++; debugPrint(logger_, @@ -455,17 +496,24 @@ float RepairSetup::bufferInputCapacitance(LibertyCell* buffer_cell, } int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, - Net* net, + Net* net,//output of buffer. int level, - Instance* parent_in) + Instance* parent_in, + odb::dbITerm* mod_net_drvr, + odb::dbModNet* mod_net_in) { - Instance* parent = parent_in; //db_network_->topInstance(); + //HFix, pass in the parent + Instance* parent = parent_in; switch (choice->type()) { - case BufferedNetType::buffer: { + + case BufferedNetType::buffer: { std::string buffer_name = resizer_-> makeUniqueInstName("rebuffer"); - Net* net2 = resizer_->makeUniqueNet(); + + //HFix: make net in hierarchy + std::string net_name = resizer_ -> makeUniqueNetName(); + Net* net2 = db_network_ -> makeNet(net_name.c_str(), parent); LibertyCell* buffer_cell = choice->bufferCell(); @@ -486,14 +534,57 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, buffer_name.c_str(), buffer_cell->name(), sdc_network_->pathName(net2)); - sta_->connectPin(buffer, input, net); + + + + odb::dbNet* db_ip_net=nullptr; + odb::dbModNet* db_ip_modnet=nullptr; + db_network_ -> staToDb(net,db_ip_net, db_ip_modnet); + + + // sta_->connectPin(buffer, input, net); //rebuffer + sta_->connectPin(buffer, input, db_network_-> dbToSta(db_ip_net)); //rebuffer sta_->connectPin(buffer, output, net2); - int buffer_count = rebufferTopDown(choice->ref(), net2, level + 1,parent); + + Pin* buffer_ip_pin=nullptr; + Pin* buffer_op_pin=nullptr; + + resizer_ -> getBufferPins(buffer,buffer_ip_pin, buffer_op_pin); + odb::dbITerm* buffer_op_iterm = nullptr; + odb::dbBTerm* buffer_op_bterm = nullptr; + odb::dbModITerm* buffer_op_moditerm = nullptr; + odb::dbModBTerm* buffer_op_modbterm = nullptr; + db_network_->staToDb( + buffer_op_pin, + buffer_op_iterm, buffer_op_bterm, + buffer_op_moditerm, buffer_op_modbterm + ); + + //disconnect modnet from original driver + //connect the output to the modnet. + //inch the modnet to the end of the buffer chain created in this scope + + //Hierarchy handling + if (mod_net_drvr && mod_net_in){ + //save original dbnet + dbNet* orig_db_net = mod_net_drvr -> getNet(); + //disconnect everything + mod_net_drvr -> disconnect(); + //restore dbnet + mod_net_drvr -> connect(orig_db_net); + //add the modnet to the new output + buffer_op_iterm -> connect(mod_net_in); + } + + int buffer_count = rebufferTopDown(choice->ref(), net2, level + 1, + parent, + buffer_op_iterm, + mod_net_in ); + + //ip_net odb::dbNet* db_net=nullptr; odb::dbModNet* db_modnet=nullptr; db_network_ -> staToDb(net,db_net, db_modnet); - - resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); db_network_ -> staToDb(net2,db_net, db_modnet); @@ -503,15 +594,18 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, case BufferedNetType::wire: debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}wire", "", level); - return rebufferTopDown(choice->ref(), net, level + 1,parent); + return rebufferTopDown(choice->ref(), net, level + 1,parent, mod_net_drvr, + mod_net_in); case BufferedNetType::junction: { debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}junction", "", level); - return rebufferTopDown(choice->ref(), net, level + 1,parent) - + rebufferTopDown(choice->ref2(), net, level + 1,parent); + return rebufferTopDown(choice->ref(), net, level + 1,parent, mod_net_drvr, + mod_net_in) + + rebufferTopDown(choice->ref2(), net, level + 1,parent, mod_net_drvr, + mod_net_in); } + case BufferedNetType::load: { - odb::dbNet* db_net=nullptr; odb::dbModNet* db_modnet=nullptr; db_network_ -> staToDb(net,db_net, db_modnet); @@ -520,7 +614,6 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, const Pin* load_pin = choice->loadPin(); //only access at dbnet level - Net* load_net = network_->net(load_pin); dbNet* db_load_net; @@ -531,6 +624,18 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, if (load_net != net) { Instance* load_inst = db_network_->instance(load_pin); + + + Instance* load_parent = db_network_ -> + getOwningInstanceParent(const_cast(load_pin)); + if (load_parent != parent){ + printf("At cross module case Load in different module %s -> %s!\n", + db_network_ -> name(parent), + db_network_ -> name(load_parent) + ); + } + + Port* load_port = db_network_->port(load_pin); // needed. @@ -553,11 +658,16 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, level, sdc_network_->pathName(load_pin), sdc_network_->pathName(load_net)); - sta_->disconnectPin(const_cast(load_pin)); - + //disconnect removes everything. + sta_->disconnectPin(const_cast(load_pin)); //prepare for hierarchy load_iterm -> connect(db_net); + //preserve the mod net. + if (db_mod_load_net){ + load_iterm -> connect(db_mod_load_net); + } + //sta_->connectPin(load_inst, load_port, net); resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); //resizer_->parasiticsInvalid(load_net); diff --git a/src/rsz/src/Resizer.cc b/src/rsz/src/Resizer.cc index 19ff1b7af6f..94acb0721a2 100644 --- a/src/rsz/src/Resizer.cc +++ b/src/rsz/src/Resizer.cc @@ -1262,7 +1262,12 @@ bool Resizer::replaceCell(Instance* inst, while (pin_iter->hasNext()) { const Pin* pin = pin_iter->next(); const Net* net = network_->net(pin); - invalidateParasitics(pin, net); + odb::dbNet* db_net=nullptr; + odb::dbModNet* db_modnet=nullptr; + db_network_ -> staToDb(net,db_net, db_modnet); + //only work on dbnets + invalidateParasitics(pin, db_network_ -> dbToSta(db_net)); + // invalidateParasitics(pin, net); } delete pin_iter; } diff --git a/src/rsz/test/repair_setup4_flat.tcl b/src/rsz/test/repair_setup4_flat.tcl new file mode 100644 index 00000000000..0e90cd91196 --- /dev/null +++ b/src/rsz/test/repair_setup4_flat.tcl @@ -0,0 +1,39 @@ +# repair_timing -setup 2 corners +source "helpers.tcl" +define_corners fast slow +read_liberty -corner slow Nangate45/Nangate45_slow.lib +read_liberty -corner fast Nangate45/Nangate45_fast.lib +read_lef Nangate45/Nangate45.lef +read_verilog repair_setup4_flat.v +link_design reg1 + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + + + +create_clock -period 0.3 clk + + +source Nangate45/Nangate45.rc +set_wire_rc -layer metal3 +estimate_parasitics -placement + +report_worst_slack -max +report_tns -digits 3 + +set_debug_level RSZ "resizer_parastics" 2 +set_debug_level RSZ "repair_setup" 1 +set_debug_level RSZ "repair_setup" 3 +set_debug_level RSZ "repair_setup" 4 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "journal" 1 + +repair_timing -setup -skip_last_gasp -skip_pin_swap -skip_gate_cloning -skip_buffer_removal -max_passes 1 + + +write_verilog repair_setup4_after_flat.v + diff --git a/src/rsz/test/repair_setup4_flat.v b/src/rsz/test/repair_setup4_flat.v new file mode 100644 index 00000000000..4ff7acc9381 --- /dev/null +++ b/src/rsz/test/repair_setup4_flat.v @@ -0,0 +1,40 @@ +module reg1 (clk); + input clk; + + wire r1q; + wire u1z; + wire u2z; + wire u3z; + wire u4z; + wire u5z; + + DFF_X1 r1 (.CK(clk), + .Q(r1q)); + BUF_X1 u1 (.A(r1q), + .Z(u1z)); + BUF_X1 u2 (.A(u1z), + .Z(u2z)); + BUF_X1 u3 (.A(u2z), + .Z(u3z)); + BUF_X1 u4 (.A(u3z), + .Z(u4z)); + BUF_X1 u5 (.A(u4z), + .Z(u5z)); + DFF_X1 r2 (.D(u5z), + .CK(clk)); + DFF_X1 r3 (.D(r1q), + .CK(clk)); + DFF_X1 r4 (.D(r1q), + .CK(clk)); + DFF_X1 r5 (.D(r1q), + .CK(clk)); + DFF_X1 r6 (.D(r1q), + .CK(clk)); + DFF_X1 r7 (.D(r1q), + .CK(clk)); + DFF_X1 r8 (.D(r1q)); + DFF_X1 r9 (.D(r1q)); + DFF_X1 r10 (.D(r1q)); + DFF_X1 r11 (.D(r1q)); + DFF_X1 r12 (.D(r1q)); +endmodule diff --git a/src/rsz/test/repair_setup4_hier.tcl b/src/rsz/test/repair_setup4_hier.tcl new file mode 100644 index 00000000000..89071cf1a5c --- /dev/null +++ b/src/rsz/test/repair_setup4_hier.tcl @@ -0,0 +1,39 @@ +# repair_timing -setup 2 corners +source "helpers.tcl" +define_corners fast slow +read_liberty -corner slow Nangate45/Nangate45_slow.lib +read_liberty -corner fast Nangate45/Nangate45_fast.lib +read_lef Nangate45/Nangate45.lef +read_verilog repair_setup4_hier.v +link_design reg1 -hier + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + + +create_clock -period 0.3 clk + + +source Nangate45/Nangate45.rc +set_wire_rc -layer metal3 +estimate_parasitics -placement + +report_worst_slack -max +report_tns -digits 3 + +set_debug_level RSZ "resizer_parastics" 2 +set_debug_level RSZ "repair_setup" 1 +set_debug_level RSZ "repair_setup" 3 +set_debug_level RSZ "repair_setup" 4 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "make_buffered_net" 4 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "journal" 1 + +repair_timing -setup -skip_last_gasp -skip_pin_swap -skip_gate_cloning -skip_buffer_removal -max_passes 1 + + +write_verilog repair_setup4_after_hier.v + diff --git a/src/rsz/test/repair_setup4_hier.v b/src/rsz/test/repair_setup4_hier.v new file mode 100644 index 00000000000..580c3f26d04 --- /dev/null +++ b/src/rsz/test/repair_setup4_hier.v @@ -0,0 +1,54 @@ +/* + Hierarchical version of repair_setup4_flat.v + */ + + +module reg1 (clk); + input clk; + + DFF_X1 r1 (.CK(clk), + .Q(r1q)); + + submodule u1(.r1q(r1q), + .clk(clk) + ); + +endmodule // reg1 + +module submodule(input r1q, + input clk) ; + + wire u1z; + wire u2z; + wire u3z; + wire u4z; + wire u5z; + + BUF_X1 u1 (.A(r1q), + .Z(u1z)); + BUF_X1 u2 (.A(u1z), + .Z(u2z)); + BUF_X1 u3 (.A(u2z), + .Z(u3z)); + BUF_X1 u4 (.A(u3z), + .Z(u4z)); + BUF_X1 u5 (.A(u4z), + .Z(u5z)); + DFF_X1 r2 (.D(u5z), + .CK(clk)); + DFF_X1 r3 (.D(r1q), + .CK(clk)); + DFF_X1 r4 (.D(r1q), + .CK(clk)); + DFF_X1 r5 (.D(r1q), + .CK(clk)); + DFF_X1 r6 (.D(r1q), + .CK(clk)); + DFF_X1 r7 (.D(r1q), + .CK(clk)); + DFF_X1 r8 (.D(r1q)); + DFF_X1 r9 (.D(r1q)); + DFF_X1 r10 (.D(r1q)); + DFF_X1 r11 (.D(r1q)); + DFF_X1 r12 (.D(r1q)); +endmodule From f412b556b53873812591bd767dd5f6fe39f463a9 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sat, 26 Oct 2024 15:47:17 -0700 Subject: [PATCH 03/19] Reformatted, removed hack for return on RepairSetup.cc but left in hack for max fanout limit to avoid split_load Signed-off-by: andyfox-rushc --- src/odb/src/db/dbModNet.cpp | 8 +- src/odb/src/db/dbModuleBusPortModBTermItr.cpp | 1 + src/odb/src/db/dbModuleModBTermItr.cpp | 1 + src/odb/src/db/dbModuleModInstItr.cpp | 1 + src/odb/src/db/dbModuleModInstModITermItr.cpp | 1 + src/odb/src/db/dbModuleModNetItr.cpp | 1 + src/odb/src/db/dbModulePortItr.cpp | 1 + src/rsz/src/EstimateWireParasitics.cc | 7 +- src/rsz/src/Rebuffer.cc | 297 ++++++++---------- src/rsz/src/RepairSetup.cc | 15 +- src/rsz/src/RepairSetup.hh | 7 +- src/rsz/src/Resizer.cc | 12 +- src/rsz/src/SteinerTree.cc | 17 +- 13 files changed, 169 insertions(+), 200 deletions(-) diff --git a/src/odb/src/db/dbModNet.cpp b/src/odb/src/db/dbModNet.cpp index 3eb16c0b689..1459dceb1b9 100644 --- a/src/odb/src/db/dbModNet.cpp +++ b/src/odb/src/db/dbModNet.cpp @@ -228,13 +228,13 @@ const char* dbModNet::getName() const } // -//Support for renaming hierarchical nets +// Support for renaming hierarchical nets // -void dbModNet::reName(const char* new_name) +void dbModNet::reName(const char* new_name) { _dbModNet* obj = (_dbModNet*) this; - delete(obj -> _name); - obj -> _name = strdup(new_name); + delete (obj->_name); + obj->_name = strdup(new_name); _dbBlock* block = (_dbBlock*) obj->getOwner(); _dbModule* parent = block->_module_tbl->getPtr(obj->_parent); parent->_modnet_hash[new_name] = obj->getOID(); diff --git a/src/odb/src/db/dbModuleBusPortModBTermItr.cpp b/src/odb/src/db/dbModuleBusPortModBTermItr.cpp index 208f1dae070..bb931274bd6 100644 --- a/src/odb/src/db/dbModuleBusPortModBTermItr.cpp +++ b/src/odb/src/db/dbModuleBusPortModBTermItr.cpp @@ -35,6 +35,7 @@ #include "dbBusPort.h" #include "dbModBTerm.h" +#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModBTermItr.cpp b/src/odb/src/db/dbModuleModBTermItr.cpp index d02e1aa42d1..e29828350c9 100644 --- a/src/odb/src/db/dbModuleModBTermItr.cpp +++ b/src/odb/src/db/dbModuleModBTermItr.cpp @@ -35,6 +35,7 @@ #include "dbBusPort.h" #include "dbModBTerm.h" +#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModInstItr.cpp b/src/odb/src/db/dbModuleModInstItr.cpp index f891745da9f..63b47fac964 100644 --- a/src/odb/src/db/dbModuleModInstItr.cpp +++ b/src/odb/src/db/dbModuleModInstItr.cpp @@ -33,6 +33,7 @@ // Generator Code Begin Cpp #include "dbModuleModInstItr.h" +#include "dbModInst.h" #include "dbModInst.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModInstModITermItr.cpp b/src/odb/src/db/dbModuleModInstModITermItr.cpp index e9ce6804018..7499b0a0ff6 100644 --- a/src/odb/src/db/dbModuleModInstModITermItr.cpp +++ b/src/odb/src/db/dbModuleModInstModITermItr.cpp @@ -33,6 +33,7 @@ // Generator Code Begin Cpp #include "dbModuleModInstModITermItr.h" +#include "dbModITerm.h" #include "dbModITerm.h" #include "dbModInst.h" #include "dbModule.h" diff --git a/src/odb/src/db/dbModuleModNetItr.cpp b/src/odb/src/db/dbModuleModNetItr.cpp index 81e5a6db054..327e729ec04 100644 --- a/src/odb/src/db/dbModuleModNetItr.cpp +++ b/src/odb/src/db/dbModuleModNetItr.cpp @@ -33,6 +33,7 @@ // Generator Code Begin Cpp #include "dbModuleModNetItr.h" +#include "dbModNet.h" #include "dbModNet.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModulePortItr.cpp b/src/odb/src/db/dbModulePortItr.cpp index 38de40fcc84..94738f27c12 100644 --- a/src/odb/src/db/dbModulePortItr.cpp +++ b/src/odb/src/db/dbModulePortItr.cpp @@ -36,6 +36,7 @@ #include "dbBlock.h" #include "dbBusPort.h" #include "dbModBTerm.h" +#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/rsz/src/EstimateWireParasitics.cc b/src/rsz/src/EstimateWireParasitics.cc index da53b20d1e3..e5772ad66a4 100644 --- a/src/rsz/src/EstimateWireParasitics.cc +++ b/src/rsz/src/EstimateWireParasitics.cc @@ -743,15 +743,14 @@ bool Resizer::isPad(const Instance* inst) const void Resizer::parasiticsInvalid(const Net* net) { - odb::dbNet* db_net = nullptr; odb::dbModNet* db_modnet = nullptr; - db_network_ -> staToDb(net, db_net, db_modnet); - if (db_modnet){ + db_network_->staToDb(net, db_net, db_modnet); + if (db_modnet) { printf("Error -- cannot update parastics from a db modnet\n"); exit(0); } - + if (haveEstimatedParasitics()) { debugPrint(logger_, RSZ, diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index 043aa4823ea..4fd027f2b0b 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -67,10 +67,11 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) int inserted_buffer_count = 0; Net* net; - Instance* parent = db_network_ -> getOwningInstanceParent(const_cast(drvr_pin)); + Instance* parent + = db_network_->getOwningInstanceParent(const_cast(drvr_pin)); odb::dbNet* db_net = nullptr; odb::dbModNet* db_modnet = nullptr; - + if (network_->isTopLevelPort(drvr_pin)) { net = network_->net(network_->term(drvr_pin)); LibertyCell* buffer_cell = resizer_->buffer_lowest_drive_; @@ -78,16 +79,12 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) LibertyPort* input; buffer_cell->bufferPorts(input, drvr_port_); } else { - - db_network_ -> net(drvr_pin, db_net, db_modnet); - - if (db_modnet){ - printf("Rebuffering from a mod net %s\n", db_modnet -> getName()); - } + db_network_->net(drvr_pin, db_net, db_modnet); + net = network_->net(drvr_pin); drvr_port_ = network_->libertyPort(drvr_pin); } - + if (drvr_port_ && net // Verilog connects by net name, so there is no way to distinguish the @@ -95,7 +92,7 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) && !hasTopLevelOutputPort(net)) { corner_ = sta_->cmdCorner(); BufferedNetPtr bnet = resizer_->makeBufferedNet(drvr_pin, corner_); - + if (bnet) { bool debug = (drvr_pin == resizer_->debug_pin_); if (debug) { @@ -129,54 +126,37 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) } if (best_option) { debugPrint(logger_, RSZ, "rebuffer", 2, "best option {}", best_index); - if (db_modnet){ - printf("Mod net name %s Db Net Name %s net name %s\n", - db_modnet -> getName(), - db_net -> getName().c_str(), - db_network_ -> name(net) - ); - } - - // - //printf("Rebuffering from top down with this tree\n"); - // - - // - //get the modnet driver - // - odb::dbITerm* drvr_op_iterm = nullptr; - odb::dbBTerm* drvr_op_bterm = nullptr; - odb::dbModITerm* drvr_op_moditerm = nullptr; - odb::dbModBTerm* drvr_op_modbterm = nullptr; - db_network_->staToDb( - drvr_pin, - drvr_op_iterm, - drvr_op_bterm, - drvr_op_moditerm, - drvr_op_modbterm - ); - - if (db_modnet) - assert(drvr_op_iterm); - - if (db_net && db_modnet){ - //as we move the modnet and dbnet around we might get a clash - //so we have to uniquify the modnet name - printf("Interesting == buffer input is both dbnet and dbmodnet\n"); - printf("Name %s and %s\n",db_net -> getName().c_str(), db_modnet -> getName()); - printf("Need to change mod net name !\n"); - std::string new_name = resizer_ -> makeUniqueNetName(); - printf("Changing mod net name from %s to %s\n", - db_modnet -> getName(), - new_name.c_str()); - db_modnet -> reName(new_name.c_str()); - - } - + + // + // get the modnet driver + // + odb::dbITerm* drvr_op_iterm = nullptr; + odb::dbBTerm* drvr_op_bterm = nullptr; + odb::dbModITerm* drvr_op_moditerm = nullptr; + odb::dbModBTerm* drvr_op_modbterm = nullptr; + db_network_->staToDb(drvr_pin, + drvr_op_iterm, + drvr_op_bterm, + drvr_op_moditerm, + drvr_op_modbterm); + + if (db_modnet) + assert(drvr_op_iterm); + + if (db_net && db_modnet) { + // as we move the modnet and dbnet around we will get a clash + //(the dbNet name now exposed is the same as the modnet name) + // so we uniquify the modnet name + std::string new_name = resizer_->makeUniqueNetName(); + db_modnet->reName(new_name.c_str()); + } + inserted_buffer_count = rebufferTopDown(best_option, - db_network_ -> dbToSta(db_net), 1,parent, - drvr_op_iterm, - db_modnet ); + db_network_->dbToSta(db_net), + 1, + parent, + drvr_op_iterm, + db_modnet); if (inserted_buffer_count > 0) { rebuffer_net_count_++; debugPrint(logger_, @@ -496,30 +476,26 @@ float RepairSetup::bufferInputCapacitance(LibertyCell* buffer_cell, } int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, - Net* net,//output of buffer. + Net* net, // output of buffer. int level, - Instance* parent_in, - odb::dbITerm* mod_net_drvr, - odb::dbModNet* mod_net_in) + Instance* parent_in, + odb::dbITerm* mod_net_drvr, + odb::dbModNet* mod_net_in) { - //HFix, pass in the parent + // HFix, pass in the parent Instance* parent = parent_in; switch (choice->type()) { + case BufferedNetType::buffer: { + std::string buffer_name = resizer_->makeUniqueInstName("rebuffer"); - case BufferedNetType::buffer: { - - std::string buffer_name = resizer_-> makeUniqueInstName("rebuffer"); - - - //HFix: make net in hierarchy - std::string net_name = resizer_ -> makeUniqueNetName(); - Net* net2 = db_network_ -> makeNet(net_name.c_str(), parent); + // HFix: make net in hierarchy + std::string net_name = resizer_->makeUniqueNetName(); + Net* net2 = db_network_->makeNet(net_name.c_str(), parent); - LibertyCell* buffer_cell = choice->bufferCell(); Instance* buffer = resizer_->makeBuffer( buffer_cell, buffer_name.c_str(), parent, choice->location()); - + resizer_->level_drvr_vertices_valid_ = false; LibertyPort *input, *output; buffer_cell->bufferPorts(input, output); @@ -535,85 +511,88 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, buffer_cell->name(), sdc_network_->pathName(net2)); + odb::dbNet* db_ip_net = nullptr; + odb::dbModNet* db_ip_modnet = nullptr; + db_network_->staToDb(net, db_ip_net, db_ip_modnet); - - odb::dbNet* db_ip_net=nullptr; - odb::dbModNet* db_ip_modnet=nullptr; - db_network_ -> staToDb(net,db_ip_net, db_ip_modnet); - - // sta_->connectPin(buffer, input, net); //rebuffer - sta_->connectPin(buffer, input, db_network_-> dbToSta(db_ip_net)); //rebuffer + sta_->connectPin( + buffer, input, db_network_->dbToSta(db_ip_net)); // rebuffer sta_->connectPin(buffer, output, net2); - Pin* buffer_ip_pin=nullptr; - Pin* buffer_op_pin=nullptr; - - resizer_ -> getBufferPins(buffer,buffer_ip_pin, buffer_op_pin); + Pin* buffer_ip_pin = nullptr; + Pin* buffer_op_pin = nullptr; + + resizer_->getBufferPins(buffer, buffer_ip_pin, buffer_op_pin); odb::dbITerm* buffer_op_iterm = nullptr; odb::dbBTerm* buffer_op_bterm = nullptr; odb::dbModITerm* buffer_op_moditerm = nullptr; odb::dbModBTerm* buffer_op_modbterm = nullptr; - db_network_->staToDb( - buffer_op_pin, - buffer_op_iterm, buffer_op_bterm, - buffer_op_moditerm, buffer_op_modbterm - ); - - //disconnect modnet from original driver - //connect the output to the modnet. - //inch the modnet to the end of the buffer chain created in this scope - - //Hierarchy handling - if (mod_net_drvr && mod_net_in){ - //save original dbnet - dbNet* orig_db_net = mod_net_drvr -> getNet(); - //disconnect everything - mod_net_drvr -> disconnect(); - //restore dbnet - mod_net_drvr -> connect(orig_db_net); - //add the modnet to the new output - buffer_op_iterm -> connect(mod_net_in); - } - - int buffer_count = rebufferTopDown(choice->ref(), net2, level + 1, - parent, - buffer_op_iterm, - mod_net_in ); - - //ip_net - odb::dbNet* db_net=nullptr; - odb::dbModNet* db_modnet=nullptr; - db_network_ -> staToDb(net,db_net, db_modnet); - resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); - - db_network_ -> staToDb(net2,db_net, db_modnet); - resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); + db_network_->staToDb(buffer_op_pin, + buffer_op_iterm, + buffer_op_bterm, + buffer_op_moditerm, + buffer_op_modbterm); + + // disconnect modnet from original driver + // connect the output to the modnet. + // inch the modnet to the end of the buffer chain created in this scope + + // Hierarchy handling + if (mod_net_drvr && mod_net_in) { + // save original dbnet + dbNet* orig_db_net = mod_net_drvr->getNet(); + // disconnect everything + mod_net_drvr->disconnect(); + // restore dbnet + mod_net_drvr->connect(orig_db_net); + // add the modnet to the new output + buffer_op_iterm->connect(mod_net_in); + } + + int buffer_count = rebufferTopDown( + choice->ref(), net2, level + 1, parent, buffer_op_iterm, mod_net_in); + + // ip_net + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + db_network_->staToDb(net, db_net, db_modnet); + resizer_->parasiticsInvalid(db_network_->dbToSta(db_net)); + + db_network_->staToDb(net2, db_net, db_modnet); + resizer_->parasiticsInvalid(db_network_->dbToSta(db_net)); return buffer_count + 1; } - + case BufferedNetType::wire: debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}wire", "", level); - return rebufferTopDown(choice->ref(), net, level + 1,parent, mod_net_drvr, - mod_net_in); - + return rebufferTopDown( + choice->ref(), net, level + 1, parent, mod_net_drvr, mod_net_in); + case BufferedNetType::junction: { debugPrint(logger_, RSZ, "rebuffer", 3, "{:{}s}junction", "", level); - return rebufferTopDown(choice->ref(), net, level + 1,parent, mod_net_drvr, - mod_net_in) - + rebufferTopDown(choice->ref2(), net, level + 1,parent, mod_net_drvr, - mod_net_in); + return rebufferTopDown(choice->ref(), + net, + level + 1, + parent, + mod_net_drvr, + mod_net_in) + + rebufferTopDown(choice->ref2(), + net, + level + 1, + parent, + mod_net_drvr, + mod_net_in); } - + case BufferedNetType::load: { - odb::dbNet* db_net=nullptr; - odb::dbModNet* db_modnet=nullptr; - db_network_ -> staToDb(net,db_net, db_modnet); - - + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + db_network_->staToDb(net, db_net, db_modnet); + const Pin* load_pin = choice->loadPin(); - //only access at dbnet level + // only access at dbnet level Net* load_net = network_->net(load_pin); dbNet* db_load_net; @@ -621,34 +600,22 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, db_network_->staToDb(load_net, db_load_net, db_mod_load_net); (void) db_load_net; - if (load_net != net) { Instance* load_inst = db_network_->instance(load_pin); - - Instance* load_parent = db_network_ -> - getOwningInstanceParent(const_cast(load_pin)); - if (load_parent != parent){ - printf("At cross module case Load in different module %s -> %s!\n", - db_network_ -> name(parent), - db_network_ -> name(load_parent) - ); - } - - + Instance* load_parent + = db_network_->getOwningInstanceParent(const_cast(load_pin)); + Port* load_port = db_network_->port(load_pin); - // needed. - odb::dbITerm* load_iterm = nullptr; - odb::dbBTerm* load_bterm = nullptr; - odb::dbModITerm* load_moditerm = nullptr; - odb::dbModBTerm* load_modbterm = nullptr; + // needed. + odb::dbITerm* load_iterm = nullptr; + odb::dbBTerm* load_bterm = nullptr; + odb::dbModITerm* load_moditerm = nullptr; + odb::dbModBTerm* load_modbterm = nullptr; db_network_->staToDb( - load_pin, load_iterm, load_bterm, - load_moditerm, load_modbterm); - + load_pin, load_iterm, load_bterm, load_moditerm, load_modbterm); - debugPrint(logger_, RSZ, "rebuffer", @@ -659,18 +626,18 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, sdc_network_->pathName(load_pin), sdc_network_->pathName(load_net)); - //disconnect removes everything. + // disconnect removes everything. sta_->disconnectPin(const_cast(load_pin)); - //prepare for hierarchy - load_iterm -> connect(db_net); - //preserve the mod net. - if (db_mod_load_net){ - load_iterm -> connect(db_mod_load_net); - } - - //sta_->connectPin(load_inst, load_port, net); - resizer_->parasiticsInvalid(db_network_ -> dbToSta(db_net)); - //resizer_->parasiticsInvalid(load_net); + // prepare for hierarchy + load_iterm->connect(db_net); + // preserve the mod net. + if (db_mod_load_net) { + load_iterm->connect(db_mod_load_net); + } + + // sta_->connectPin(load_inst, load_port, net); + resizer_->parasiticsInvalid(db_network_->dbToSta(db_net)); + // resizer_->parasiticsInvalid(load_net); } return 0; } diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 2e9ab0349c1..f79fecc11d0 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -53,15 +53,15 @@ #include "sta/Sdc.hh" #include "sta/TimingArc.hh" #include "sta/Units.hh" -#include "utl/Logger.h" #include "sta/VerilogWriter.hh" +#include "utl/Logger.h" /*void writeVerilog(const char *filename, - bool sort, - bool include_pwr_gnd, - sta::CellSeq *remove_cells, - sta::Network *network); + bool sort, + bool include_pwr_gnd, + sta::CellSeq *remove_cells, + sta::Network *network); */ namespace rsz { @@ -594,11 +594,6 @@ bool RepairSetup::repairPath(PathRef& path, rebuffer_count); inserted_buffer_count_ += rebuffer_count; changed = true; - //HACK skip any more noise - //write out db_network to verilog for test - // sta::CellSeq unused; - // writeVerilog("debug.txt",false,false,&unused, db_network_); - return true; break; } } diff --git a/src/rsz/src/RepairSetup.hh b/src/rsz/src/RepairSetup.hh index 2a1e63dde54..d1cdea3a13e 100644 --- a/src/rsz/src/RepairSetup.hh +++ b/src/rsz/src/RepairSetup.hh @@ -208,7 +208,12 @@ class RepairSetup : public sta::dbStaState int rebuffer(const Pin* drvr_pin); BufferedNetSeq rebufferBottomUp(const BufferedNetPtr& bnet, int level); - int rebufferTopDown(const BufferedNetPtr& choice, Net* net, int level,Instance* parent); + int rebufferTopDown(const BufferedNetPtr& choice, + Net* net, + int level, + Instance* parent, + odb::dbITerm* mod_net_drvr, + odb::dbModNet* mod_net); BufferedNetSeq addWireAndBuffer(const BufferedNetSeq& Z, const BufferedNetPtr& bnet_wire, int level); diff --git a/src/rsz/src/Resizer.cc b/src/rsz/src/Resizer.cc index 94acb0721a2..5412c028458 100644 --- a/src/rsz/src/Resizer.cc +++ b/src/rsz/src/Resizer.cc @@ -1262,12 +1262,12 @@ bool Resizer::replaceCell(Instance* inst, while (pin_iter->hasNext()) { const Pin* pin = pin_iter->next(); const Net* net = network_->net(pin); - odb::dbNet* db_net=nullptr; - odb::dbModNet* db_modnet=nullptr; - db_network_ -> staToDb(net,db_net, db_modnet); - //only work on dbnets - invalidateParasitics(pin, db_network_ -> dbToSta(db_net)); - // invalidateParasitics(pin, net); + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + db_network_->staToDb(net, db_net, db_modnet); + // only work on dbnets + invalidateParasitics(pin, db_network_->dbToSta(db_net)); + // invalidateParasitics(pin, net); } delete pin_iter; } diff --git a/src/rsz/src/SteinerTree.cc b/src/rsz/src/SteinerTree.cc index 70fc2524742..77ccfa9e422 100644 --- a/src/rsz/src/SteinerTree.cc +++ b/src/rsz/src/SteinerTree.cc @@ -64,10 +64,8 @@ static void connectedPins(const Net* net, // Returns nullptr if net has less than 2 pins or any pin is not placed. SteinerTree* Resizer::makeSteinerTree(const Pin* drvr_pin) { - Network* sdc_network = network_->sdcNetwork(); - /* Handle hierarchy. Make sure all traversal on dbNets. */ @@ -76,19 +74,18 @@ SteinerTree* Resizer::makeSteinerTree(const Pin* drvr_pin) odb::dbModITerm* moditerm; odb::dbModBTerm* modbterm; - db_network_ -> staToDb(drvr_pin, iterm, bterm, moditerm, modbterm); + db_network_->staToDb(drvr_pin, iterm, bterm, moditerm, modbterm); odb::dbNet* db_net; odb::dbModNet* db_mod_net; - db_network_ -> net(drvr_pin, db_net, db_mod_net); - + db_network_->net(drvr_pin, db_net, db_mod_net); - Net* net = network_->isTopLevelPort(drvr_pin) - ? network_->net(network_->term(drvr_pin)) - //original code, could retrun a mod net : network_->net(drvr_pin); - :db_network_ -> dbToSta(db_net); + Net* net + = network_->isTopLevelPort(drvr_pin) + ? network_->net(network_->term(drvr_pin)) + // original code, could retrun a mod net : network_->net(drvr_pin); + : db_network_->dbToSta(db_net); - debugPrint(logger_, RSZ, "steiner", 1, "Net {}", sdc_network->pathName(net)); SteinerTree* tree = new SteinerTree(drvr_pin, this); Vector& pinlocs = tree->pinlocs(); From 8ee3bbf84b11db2394acaaee4acd1e0a67c0ef89 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 14:30:09 -0700 Subject: [PATCH 04/19] Reformatted, support for modnets in dbJournal Signed-off-by: andyfox-rushc --- src/odb/include/odb/db.h | 1 + src/odb/src/db/dbITerm.cpp | 40 +++- src/odb/src/db/dbJournal.cpp | 48 +++- src/odb/src/db/dbModNet.cpp | 7 + src/odb/src/db/dbModuleBusPortModBTermItr.cpp | 1 - src/odb/src/db/dbModuleModBTermItr.cpp | 1 - src/odb/src/db/dbModuleModInstItr.cpp | 1 - src/odb/src/db/dbModuleModInstModITermItr.cpp | 1 - src/odb/src/db/dbModuleModNetItr.cpp | 1 - src/odb/src/db/dbModulePortItr.cpp | 1 - src/rsz/src/OdbCallBack.cc | 6 +- src/rsz/src/RepairSetup.cc | 219 +++++++++++++++++- 12 files changed, 307 insertions(+), 20 deletions(-) diff --git a/src/odb/include/odb/db.h b/src/odb/include/odb/db.h index f2b32864f77..566d1754d65 100644 --- a/src/odb/include/odb/db.h +++ b/src/odb/include/odb/db.h @@ -8150,6 +8150,7 @@ class dbModNet : public dbObject const char* getName() const; void reName(const char* new_name); + static dbModNet* getModNet(dbBlock* block, uint id); static dbModNet* create(dbModule* parentModule, const char* name); static void destroy(dbModNet*); diff --git a/src/odb/src/db/dbITerm.cpp b/src/odb/src/db/dbITerm.cpp index 019dcda030c..bcaade924fd 100644 --- a/src/odb/src/db/dbITerm.cpp +++ b/src/odb/src/db/dbITerm.cpp @@ -442,6 +442,8 @@ void dbITerm::connect(dbNet* net_) block->_journal->pushParam(dbITermObj); block->_journal->pushParam(getId()); block->_journal->pushParam(net_->getId()); + // put in a fake modnet here + block->_journal->pushParam(0); block->_journal->endAction(); } @@ -500,6 +502,22 @@ void dbITerm::connect(dbModNet* mod_net) inst->_name); } + if (block->_journal) { + debugPrint(iterm->getImpl()->getLogger(), + utl::ODB, + "DB_ECO", + 1, + "ECO: connect Iterm {} to modnet {}", + getId(), + _mod_net->getId()); + block->_journal->beginAction(dbJournal::CONNECT_OBJECT); + block->_journal->pushParam(dbITermObj); + block->_journal->pushParam(getId()); + block->_journal->pushParam(0); + block->_journal->pushParam(_mod_net->getId()); + block->_journal->endAction(); + } + if (_mod_net->_iterms != 0) { _dbITerm* head = block->_iterm_tbl->getPtr(_mod_net->_iterms); iterm->_next_modnet_iterm = _mod_net->_iterms; @@ -552,7 +570,6 @@ void dbITerm::disconnect() block->_journal->pushParam(dbITermObj); block->_journal->pushParam(getId()); block->_journal->pushParam(net->getOID()); - block->_journal->endAction(); } uint id = iterm->getOID(); @@ -580,9 +597,30 @@ void dbITerm::disconnect() // the modnet part if (iterm->_mnet == 0) { + if (block->_journal) { + debugPrint(iterm->getImpl()->getLogger(), + utl::ODB, + "DB_ECO", + 1, + "ECO: disconnect modnet from Iterm {}", + getId()); + block->_journal->pushParam(0); + block->_journal->endAction(); + } return; } + _dbModNet* mod_net = block->_modnet_tbl->getPtr(iterm->_mnet); + if (block->_journal) { + debugPrint(iterm->getImpl()->getLogger(), + utl::ODB, + "DB_ECO", + 1, + "ECO: disconnect Iterm -- modnet part {}", + getId()); + block->_journal->pushParam(mod_net->getOID()); + block->_journal->endAction(); + } if (mod_net->_iterms == id) { mod_net->_iterms = iterm->_next_modnet_iterm; diff --git a/src/odb/src/db/dbJournal.cpp b/src/odb/src/db/dbJournal.cpp index 0514f750797..d2651f40683 100644 --- a/src/odb/src/db/dbJournal.cpp +++ b/src/odb/src/db/dbJournal.cpp @@ -571,15 +571,30 @@ void dbJournal::redo_connectObject() dbITerm* iterm = dbITerm::getITerm(_block, iterm_id); uint net_id; _log.pop(net_id); - dbNet* net = dbNet::getNet(_block, net_id); - debugPrint(_logger, - utl::ODB, - "DB_ECO", - 2, - "REDO ECO: connect dbITermObj, iterm_id {}, net_id {}", - iterm_id, - net_id); - iterm->connect(net); + if (net_id != 0) { + dbNet* net = dbNet::getNet(_block, net_id); + debugPrint(_logger, + utl::ODB, + "DB_ECO", + 2, + "REDO ECO: connect dbITermObj, iterm_id {}, net_id {}", + iterm_id, + net_id); + iterm->connect(net); + } + uint mod_net_id; + _log.pop(mod_net_id); + if (mod_net_id != 0) { + dbModNet* mod_net = dbModNet::getModNet(_block, mod_net_id); + debugPrint(_logger, + utl::ODB, + "DB_ECO", + 2, + "REDO ECO: connect dbITermObj, iterm_id {}, mod_net_id {}", + iterm_id, + mod_net_id); + iterm->connect(mod_net); + } break; } @@ -622,6 +637,7 @@ void dbJournal::redo_disconnectObject() 2, "REDO ECO: disconnect dbITermObj, iterm_id {}", iterm_id); + // note: this will disconnect the modnet and the dbNet iterm->disconnect(); break; } @@ -1618,6 +1634,7 @@ void dbJournal::undo_connectObject() dbITerm* iterm = dbITerm::getITerm(_block, iterm_id); uint net_id; _log.pop(net_id); + // disconnects everything modnet and bnet) iterm->disconnect(); break; } @@ -1653,8 +1670,17 @@ void dbJournal::undo_disconnectObject() dbITerm* iterm = dbITerm::getITerm(_block, iterm_id); uint net_id; _log.pop(net_id); - dbNet* net = dbNet::getNet(_block, net_id); - iterm->connect(net); + if (net_id != 0) { + dbNet* net = dbNet::getNet(_block, net_id); + iterm->connect(net); + } + uint mnet_id; + _log.pop(mnet_id); + if (mnet_id != 0) { + dbModNet* mod_net = dbModNet::getModNet(_block, mnet_id); + iterm->connect(mod_net); + } + break; } diff --git a/src/odb/src/db/dbModNet.cpp b/src/odb/src/db/dbModNet.cpp index 1459dceb1b9..211bc412547 100644 --- a/src/odb/src/db/dbModNet.cpp +++ b/src/odb/src/db/dbModNet.cpp @@ -240,6 +240,13 @@ void dbModNet::reName(const char* new_name) parent->_modnet_hash[new_name] = obj->getOID(); } +dbModNet* dbModNet::getModNet(dbBlock* block, uint id) +{ + _dbBlock* block_ = (_dbBlock*) block; + _dbModNet* ret = block_->_modnet_tbl->getPtr(id); + return (dbModNet*) ret; +} + dbModNet* dbModNet::create(dbModule* parentModule, const char* name) { // give illusion of scoping. diff --git a/src/odb/src/db/dbModuleBusPortModBTermItr.cpp b/src/odb/src/db/dbModuleBusPortModBTermItr.cpp index bb931274bd6..208f1dae070 100644 --- a/src/odb/src/db/dbModuleBusPortModBTermItr.cpp +++ b/src/odb/src/db/dbModuleBusPortModBTermItr.cpp @@ -35,7 +35,6 @@ #include "dbBusPort.h" #include "dbModBTerm.h" -#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModBTermItr.cpp b/src/odb/src/db/dbModuleModBTermItr.cpp index e29828350c9..d02e1aa42d1 100644 --- a/src/odb/src/db/dbModuleModBTermItr.cpp +++ b/src/odb/src/db/dbModuleModBTermItr.cpp @@ -35,7 +35,6 @@ #include "dbBusPort.h" #include "dbModBTerm.h" -#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModInstItr.cpp b/src/odb/src/db/dbModuleModInstItr.cpp index 63b47fac964..f891745da9f 100644 --- a/src/odb/src/db/dbModuleModInstItr.cpp +++ b/src/odb/src/db/dbModuleModInstItr.cpp @@ -33,7 +33,6 @@ // Generator Code Begin Cpp #include "dbModuleModInstItr.h" -#include "dbModInst.h" #include "dbModInst.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModuleModInstModITermItr.cpp b/src/odb/src/db/dbModuleModInstModITermItr.cpp index 7499b0a0ff6..e9ce6804018 100644 --- a/src/odb/src/db/dbModuleModInstModITermItr.cpp +++ b/src/odb/src/db/dbModuleModInstModITermItr.cpp @@ -33,7 +33,6 @@ // Generator Code Begin Cpp #include "dbModuleModInstModITermItr.h" -#include "dbModITerm.h" #include "dbModITerm.h" #include "dbModInst.h" #include "dbModule.h" diff --git a/src/odb/src/db/dbModuleModNetItr.cpp b/src/odb/src/db/dbModuleModNetItr.cpp index 327e729ec04..81e5a6db054 100644 --- a/src/odb/src/db/dbModuleModNetItr.cpp +++ b/src/odb/src/db/dbModuleModNetItr.cpp @@ -33,7 +33,6 @@ // Generator Code Begin Cpp #include "dbModuleModNetItr.h" -#include "dbModNet.h" #include "dbModNet.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/odb/src/db/dbModulePortItr.cpp b/src/odb/src/db/dbModulePortItr.cpp index 94738f27c12..38de40fcc84 100644 --- a/src/odb/src/db/dbModulePortItr.cpp +++ b/src/odb/src/db/dbModulePortItr.cpp @@ -36,7 +36,6 @@ #include "dbBlock.h" #include "dbBusPort.h" #include "dbModBTerm.h" -#include "dbModBTerm.h" #include "dbModule.h" #include "dbTable.h" diff --git a/src/rsz/src/OdbCallBack.cc b/src/rsz/src/OdbCallBack.cc index ba64da2f17a..4e0dc402484 100644 --- a/src/rsz/src/OdbCallBack.cc +++ b/src/rsz/src/OdbCallBack.cc @@ -150,7 +150,11 @@ void OdbCallBack::inDbInstSwapMasterAfter(dbInst* inst) while (pin_iter->hasNext()) { Pin* pin = pin_iter->next(); Net* net = network_->net(pin); - resizer_->parasiticsInvalid(net); + // we can only update parasitics for low level net + odb::dbNet* db_net = nullptr; + odb::dbModNet* db_modnet = nullptr; + db_network_->staToDb(net, db_net, db_modnet); + resizer_->parasiticsInvalid(db_network_->dbToSta(db_net)); } } diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index f79fecc11d0..d985b7ac8d4 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -611,7 +611,7 @@ bool RepairSetup::repairPath(PathRef& path, if (!skip_buffering) { // Don't split loads on low fanout nets. - if (fanout > 1000 /*split_load_min_fanout_*/ && !tristate_drvr + if (fanout > split_load_min_fanout_ && !tristate_drvr && !resizer_->dontTouch(net) && !db_net->isConnectedByAbutment()) { const int init_buffer_count = inserted_buffer_count_; splitLoads(drvr_path, drvr_index, path_slack, &expanded); @@ -1322,6 +1322,7 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, return true; } +/* void RepairSetup::splitLoads(const PathRef* drvr_path, const int drvr_index, const Slack drvr_slack, @@ -1416,6 +1417,222 @@ void RepairSetup::splitLoads(const PathRef* drvr_path, resizer_->parasiticsInvalid(net); resizer_->parasiticsInvalid(out_net); } +*/ + +void RepairSetup::splitLoads(const PathRef* drvr_path, + const int drvr_index, + const Slack drvr_slack, + PathExpanded* expanded) +{ + static int debug; + debug++; + Pin* drvr_pin = drvr_path->pin(this); + + const PathRef* load_path = expanded->path(drvr_index + 1); + Vertex* load_vertex = load_path->vertex(sta_); + Pin* load_pin = load_vertex->pin(); + // Divide and conquer. + debugPrint(logger_, + RSZ, + "repair_setup", + 3, + "split loads {} -> {}", + network_->pathName(drvr_pin), + network_->pathName(load_pin)); + + Vertex* drvr_vertex = drvr_path->vertex(sta_); + const RiseFall* rf = drvr_path->transition(sta_); + // Sort fanouts of the drvr on the critical path by slack margin + // wrt the critical path slack. + vector> fanout_slacks; + VertexOutEdgeIterator edge_iter(drvr_vertex, graph_); + while (edge_iter.hasNext()) { + Edge* edge = edge_iter.next(); + // Watch out for problematic asap7 output->output timing arcs. + if (edge->isWire()) { + Vertex* fanout_vertex = edge->to(graph_); + const Slack fanout_slack = sta_->vertexSlack(fanout_vertex, rf, max_); + const Slack slack_margin = fanout_slack - drvr_slack; + debugPrint(logger_, + RSZ, + "repair_setup", + 4, + " fanin {} slack_margin = {}", + network_->pathName(fanout_vertex->pin()), + delayAsString(slack_margin, sta_, 3)); + fanout_slacks.emplace_back(fanout_vertex, slack_margin); + } + } + + sort(fanout_slacks.begin(), + fanout_slacks.end(), + [=](const pair& pair1, + const pair& pair2) { + return (pair1.second > pair2.second + || (pair1.second == pair2.second + && network_->pathNameLess(pair1.first->pin(), + pair2.first->pin()))); + }); + + // H-fix get both the mod net and db net (if present). + dbNet* db_drvr_net; + odb::dbModNet* db_mod_drvr_net; + db_network_->net(drvr_pin, db_drvr_net, db_mod_drvr_net); + + const string buffer_name = resizer_->makeUniqueInstName("split"); + + // H-Fix Use driver parent for hierarchy, not the top instance + Instance* parent = db_network_->getOwningInstanceParent(drvr_pin); + + LibertyCell* buffer_cell = resizer_->buffer_lowest_drive_; + const Point drvr_loc = db_network_->location(drvr_pin); + + // H-Fix make the buffer in the parent of the driver pin + Instance* buffer = resizer_->makeBuffer( + buffer_cell, buffer_name.c_str(), parent, drvr_loc); + inserted_buffer_count_++; + + // H-fix make the out net in the driver parent + std::string out_net_name = resizer_->makeUniqueNetName(); + Net* out_net = db_network_->makeNet(out_net_name.c_str(), parent); + + LibertyPort *input, *output; + buffer_cell->bufferPorts(input, output); + + Pin* buffer_ip_pin; + Pin* buffer_op_pin; + resizer_->getBufferPins(buffer, buffer_ip_pin, buffer_op_pin); + (void) buffer_ip_pin; + + // Split the loads with extra slack to an inserted buffer. + // before + // drvr_pin -> net -> load_pins + // after + // drvr_pin -> net -> load_pins with low slack + // -> buffer_in -> net -> rest of loads + + // Hierarchical case: + // If the driver was hooked to a modnet. + // + // If the loads are partitioned then we introduce new modnets + // punch through. + // + // Create the buffer in the driver module. + // + // For non-buffered loads, use original modnet (if any). + // + // For buffered loads use dbNetwork::hierarchicalConnect + // which may introduce new modnets. + // + // Before: + // drvr_pin -> modnet -> load pins {Partition1, Partition2} + // + // after + // drvr_pin -> mod_net -> load pins with low slack {Partition1} + // -> buffer_in -> mod_net* -> rest of loads {Partition2} + // + + // connect input of buffer to the original driver db net + sta_->connectPin(buffer, input, db_network_->dbToSta(db_drvr_net)); + + // invalidate the dbNet + resizer_->parasiticsInvalid(db_network_->dbToSta(db_drvr_net)); + + // out_net is the db net + sta_->connectPin(buffer, output, out_net); + + const int split_index = fanout_slacks.size() / 2; + for (int i = 0; i < split_index; i++) { + pair fanout_slack = fanout_slacks[i]; + Vertex* load_vertex = fanout_slack.first; + Pin* load_pin = load_vertex->pin(); + + odb::dbITerm* load_iterm; + odb::dbBTerm* load_bterm; + odb::dbModITerm* load_moditerm; + odb::dbModBTerm* load_modbterm; + + db_network_->staToDb( + load_pin, load_iterm, load_bterm, load_moditerm, load_modbterm); + + // Leave ports connected to original net so verilog port names are + // preserved. + if (!network_->isTopLevelPort(load_pin)) { + LibertyPort* load_port = network_->libertyPort(load_pin); + Instance* load = network_->instance(load_pin); + + // stash the modnet for the load + dbNet* db_load_net; + odb::dbModNet* db_mod_load_net; + db_network_->net(load_pin, db_load_net, db_mod_load_net); + (void) db_load_net; + + // This will kill both the dbNet and modnet connection + load_iterm->disconnect(); + + // Flat connection to dbNet + load_iterm->connect(db_network_->staToDb(out_net)); + + // + // H-Fix. Support connecting across hierachy. + // This is a hack: way too much punch through... clean up + // + Instance* load_parent = db_network_->getOwningInstanceParent(load_pin); + + if (load_parent != parent) { + printf("Got split load across hierarchy !\n"); + + std::string unique_connection_name = resizer_->makeUniqueNetName(); + + odb::dbITerm* load_pin_iterm; + odb::dbBTerm* load_pin_bterm; + odb::dbModITerm* load_pin_moditerm; + odb::dbModBTerm* load_pin_modbterm; + + db_network_->staToDb(load_pin, + load_pin_iterm, + load_pin_bterm, + load_pin_moditerm, + load_pin_modbterm); + + odb::dbITerm* buffer_op_pin_iterm; + odb::dbBTerm* buffer_op_pin_bterm; + odb::dbModITerm* buffer_op_pin_moditerm; + odb::dbModBTerm* buffer_op_pin_modbterm; + + db_network_->staToDb(buffer_op_pin, + buffer_op_pin_iterm, + buffer_op_pin_bterm, + buffer_op_pin_moditerm, + buffer_op_pin_modbterm); + + if (load_pin_iterm && buffer_op_pin_iterm) { + db_network_->hierarchicalConnect(buffer_op_pin_iterm, + load_pin_iterm, + unique_connection_name.c_str()); + } + } else { + // everything in same module, no worries, share the dbModNet. + // get iterm for load and connect to the modnet + odb::dbITerm* iterm; + odb::dbBTerm* bterm; + odb::dbModITerm* moditerm; + odb::dbModBTerm* modbterm; + db_network_->staToDb(load_pin, iterm, bterm, moditerm, modbterm); + if (iterm && db_mod_load_net) { + iterm->connect(db_mod_load_net); + } + } + } + } + + Pin* buffer_out_pin = network_->findPin(buffer, output); + resizer_->resizeToTargetSlew(buffer_out_pin); + // H-Fix, only invalidate db nets. + // resizer_->parasiticsInvalid(net); + resizer_->parasiticsInvalid(db_network_->dbToSta(db_drvr_net)); + resizer_->parasiticsInvalid(out_net); +} int RepairSetup::fanout(Vertex* vertex) { From 2bc308539f00dc2a28197d99f1e43420ecdc3a13 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 15:03:07 -0700 Subject: [PATCH 05/19] Added repair_setup4_hier case Signed-off-by: andyfox-rushc --- src/rsz/test/regression_tests.tcl | 1 + src/rsz/test/repair_setup4_hier.ok | 1924 +++++++++++++++++++++++ src/rsz/test/repair_setup4_hier.tcl | 8 +- src/rsz/test/repair_setup4_hier_out.vok | 45 + 4 files changed, 1975 insertions(+), 3 deletions(-) create mode 100644 src/rsz/test/repair_setup4_hier.ok create mode 100644 src/rsz/test/repair_setup4_hier_out.vok diff --git a/src/rsz/test/regression_tests.tcl b/src/rsz/test/regression_tests.tcl index 164c4bd36f6..b47142cf3bb 100644 --- a/src/rsz/test/regression_tests.tcl +++ b/src/rsz/test/regression_tests.tcl @@ -67,6 +67,7 @@ record_tests { repair_setup2 repair_setup3 repair_setup4 + repair_setup4_hier repair_setup5 repair_setup6 repair_setup7 diff --git a/src/rsz/test/repair_setup4_hier.ok b/src/rsz/test/repair_setup4_hier.ok new file mode 100644 index 00000000000..59912f0e903 --- /dev/null +++ b/src/rsz/test/repair_setup4_hier.ok @@ -0,0 +1,1924 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. +[INFO GPL-0002] DBU: 2000 +[INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um +[INFO GPL-0004] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[WARNING GPL-0001] clk toplevel port is not placed! + Replace will regard clk is placed in (0, 0) +[INFO GPL-0006] NumInstances: 17 +[INFO GPL-0007] NumPlaceInstances: 17 +[INFO GPL-0008] NumFixedInstances: 0 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 7 +[INFO GPL-0011] NumPins: 30 +[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 40.000 1200.000 ) um +[INFO GPL-0013] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[INFO GPL-0016] CoreArea: 47872.020 um^2 +[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2 +[INFO GPL-0018] PlaceInstsArea: 58.254 um^2 +[INFO GPL-0019] Util: 0.122 % +[INFO GPL-0020] StdInstsArea: 58.254 um^2 +[INFO GPL-0021] MacroInstsArea: 0.000 um^2 +[InitialPlace] Iter: 1 CG residual: 0.00000001 HPWL: 43540 +[InitialPlace] Iter: 2 CG residual: 0.00000007 HPWL: 54961 +[InitialPlace] Iter: 3 CG residual: 0.00000007 HPWL: 51394 +[InitialPlace] Iter: 4 CG residual: 0.00000001 HPWL: 51065 +[InitialPlace] Iter: 5 CG residual: 0.00000004 HPWL: 50646 +Placement Analysis +--------------------------------- +total displacement 129.5 u +average displacement 7.6 u +max displacement 12.0 u +original HPWL 4.7 u +legalized HPWL 57.6 u +delta HPWL 1136 % + +worst slack -0.54 +tns -1.467 +[DEBUG RSZ-repair_setup] Violating endpoints 6/34 17% +[INFO RSZ-0094] Found 6 endpoints with setup violations. +[INFO RSZ-0099] Repairing 6 out of 6 (100.00%) violating endpoints... +[DEBUG RSZ-repair_setup] u1/r2/D slack = -0.541 worst_slack = -0.541 +[DEBUG RSZ-repair_setup] Doing 1 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.042 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 11 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/r4/D (0.89, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r7/D (0.89, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r8/D (0.89, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r11/D (0.89, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r10/D (0.89, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.075 -> buffer (0.89, 6.22) BUF_X1 cap 0.935 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.098 -> buffer (0.89, 6.22) BUF_X2 cap 1.704 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.111 -> buffer (0.89, 6.22) BUF_X4 cap 3.252 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.116 -> buffer (0.89, 6.22) BUF_X8 cap 6.360 req 0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.119 -> buffer (0.89, 6.22) BUF_X16 cap 12.271 req 0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.120 -> buffer (0.89, 6.22) BUF_X32 cap 25.711 req 0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.064 -> buffer (3.13, 6.22) BUF_X1 cap 0.935 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.092 -> buffer (3.13, 6.22) BUF_X2 cap 1.704 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.108 -> buffer (3.13, 6.22) BUF_X4 cap 3.252 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.115 -> buffer (3.13, 6.22) BUF_X8 cap 6.360 req 0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.118 -> buffer (3.13, 6.22) BUF_X16 cap 12.271 req 0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.135 req 0.120 -> buffer (3.13, 6.22) BUF_X32 cap 25.711 req 0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.359 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.359 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.351 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.346 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.345 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.345 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.366 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.366 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.355 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.348 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.346 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.346 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.345 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.372 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.372 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.358 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.358 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.350 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.350 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.347 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.346 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.345 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.381 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.381 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.364 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.364 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.353 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.353 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.349 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.349 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.347 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.346 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.385 -> buffer (3.13, 6.22) BUF_X1 cap 0.935 req -0.385 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.367 -> buffer (3.13, 6.22) BUF_X2 cap 1.704 req -0.367 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.355 -> buffer (3.13, 6.22) BUF_X4 cap 3.252 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.350 -> buffer (3.13, 6.22) BUF_X8 cap 6.360 req -0.350 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.348 -> buffer (3.13, 6.22) BUF_X16 cap 12.271 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.564 req -0.346 -> buffer (3.13, 6.22) BUF_X32 cap 25.711 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.388 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.388 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.368 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.368 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.356 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.356 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.351 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.348 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.346 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req -0.270 - 0.303 = -0.574 * 0.01 = -0.579 cap 8.611 +[DEBUG RSZ-rebuffer] option 2: 2 buffers req -0.355 - 0.289 = -0.644 * 0.02 = -0.657 cap 4.298 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.367 - 0.283 = -0.649 * 0.02 = -0.662 cap 2.750 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.385 - 0.280 = -0.665 * 0.02 = -0.678 cap 1.981 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.388 - 0.274 = -0.662 * 0.02 = -0.675 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.368 - 0.278 = -0.646 * 0.02 = -0.659 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.356 - 0.285 = -0.641 * 0.02 = -0.654 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.351 - 0.296 = -0.647 * 0.02 = -0.660 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.348 - 0.314 = -0.662 * 0.02 = -0.676 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req -0.346 - 0.349 = -0.695 * 0.02 = -0.709 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net2 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 6270, 12430 +[DEBUG RSZ-journal] journal make_buffer rebuffer1 +[DEBUG RSZ-rebuffer] insert r1q -> rebuffer1 (BUF_X1) -> net2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 93 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 94 to net 8 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 3 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 3 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 3 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 94 to modnet 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 37 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 37 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r7/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 55 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 55 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r8/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r11/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r10/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-rebuffer] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] pass 1 slack = -0.528 worst_slack = -0.528 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.355 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.347 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.342 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.342 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.163 req -0.341 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.362 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.362 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.351 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.344 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.343 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.342 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.390 req -0.341 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.368 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.368 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.355 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.346 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.343 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.343 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.742 req -0.342 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.377 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.377 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.360 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.360 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.349 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.349 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.345 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.344 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.289 req -0.342 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.381 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.381 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.363 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.363 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.351 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.346 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.344 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.601 req -0.342 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.384 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.384 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.365 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.365 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.352 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.352 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.347 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.344 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.611 req -0.342 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.266 - 0.303 = -0.570 * 0.00 = -0.570 cap 8.611 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.351 - 0.289 = -0.640 * 0.01 = -0.647 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.363 - 0.283 = -0.646 * 0.01 = -0.652 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.381 - 0.279 = -0.661 * 0.01 = -0.667 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.384 - 0.274 = -0.658 * 0.01 = -0.665 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.365 - 0.278 = -0.643 * 0.01 = -0.649 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.352 - 0.285 = -0.637 * 0.01 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.347 - 0.296 = -0.643 * 0.01 = -0.650 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.344 - 0.314 = -0.659 * 0.01 = -0.665 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.342 - 0.349 = -0.692 * 0.01 = -0.698 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.525 worst_slack = -0.525 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.354 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.354 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.344 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.338 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.336 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.360 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.360 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.348 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.340 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.338 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.337 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.336 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.365 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.365 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.351 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.342 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.339 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.338 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.336 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.374 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.374 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.356 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.356 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.345 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.340 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.339 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.337 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.378 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.378 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.359 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.359 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.347 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.341 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.339 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.337 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.381 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.381 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.360 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.360 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.348 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.342 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.339 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.337 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.261 - 0.306 = -0.567 * 0.00 = -0.567 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.347 - 0.289 = -0.636 * 0.01 = -0.642 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.359 - 0.283 = -0.641 * 0.01 = -0.648 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.378 - 0.279 = -0.658 * 0.01 = -0.664 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.381 - 0.274 = -0.655 * 0.01 = -0.661 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.360 - 0.278 = -0.639 * 0.01 = -0.645 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.348 - 0.285 = -0.633 * 0.01 = -0.639 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.342 - 0.296 = -0.638 * 0.01 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.339 - 0.314 = -0.653 * 0.01 = -0.660 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.337 - 0.349 = -0.686 * 0.01 = -0.693 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.525 worst_slack = -0.525 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.012 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.355 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.345 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.338 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.336 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.360 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.360 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.348 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.340 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.338 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.338 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.337 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.366 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.366 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.351 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.342 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.339 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.338 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.337 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.375 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.375 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.357 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.346 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.346 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.341 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.339 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.337 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.379 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.379 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.359 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.359 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.347 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.342 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.339 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.337 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.382 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.382 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.361 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.361 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.348 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.343 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.340 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.338 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.261 - 0.306 = -0.567 * 0.00 = -0.567 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.347 - 0.289 = -0.636 * 0.01 = -0.642 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.359 - 0.283 = -0.642 * 0.01 = -0.648 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.379 - 0.279 = -0.658 * 0.01 = -0.665 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.382 - 0.274 = -0.655 * 0.01 = -0.662 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.361 - 0.278 = -0.639 * 0.01 = -0.645 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.348 - 0.285 = -0.633 * 0.01 = -0.639 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.343 - 0.296 = -0.639 * 0.01 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.340 - 0.314 = -0.654 * 0.01 = -0.660 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.338 - 0.349 = -0.687 * 0.01 = -0.694 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.521 worst_slack = -0.521 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.011 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.351 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.341 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.335 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.335 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.333 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.333 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.332 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.357 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.344 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.336 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.334 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.334 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.333 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.362 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.362 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.348 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.338 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.335 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.335 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.334 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.333 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.371 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.371 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.353 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.353 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.342 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.337 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.335 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.335 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.333 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.375 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.375 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.355 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.355 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.343 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.338 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.336 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.334 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.378 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.378 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.357 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.344 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.344 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.339 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.336 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.334 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.258 - 0.306 = -0.563 * 0.00 = -0.563 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.343 - 0.289 = -0.632 * 0.01 = -0.639 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.355 - 0.283 = -0.638 * 0.01 = -0.644 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.375 - 0.279 = -0.654 * 0.01 = -0.661 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.378 - 0.274 = -0.652 * 0.01 = -0.658 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.357 - 0.278 = -0.635 * 0.01 = -0.642 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.344 - 0.285 = -0.629 * 0.01 = -0.636 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.339 - 0.296 = -0.635 * 0.01 = -0.641 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.336 - 0.314 = -0.650 * 0.01 = -0.657 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.334 - 0.349 = -0.683 * 0.01 = -0.690 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.517 worst_slack = -0.517 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.018 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.011 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.347 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.330 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.329 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.329 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.328 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.328 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.352 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.352 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.340 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.332 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.330 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.330 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.328 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.328 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.358 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.358 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.343 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.334 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.331 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.330 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.329 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.367 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.367 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.349 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.349 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.338 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.338 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.333 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.331 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.329 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.371 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.371 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.351 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.351 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.339 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.334 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.331 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.329 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.374 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.374 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.353 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.353 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.340 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.334 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.332 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.330 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.253 - 0.306 = -0.559 * 0.00 = -0.559 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.339 - 0.289 = -0.628 * 0.01 = -0.634 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.351 - 0.283 = -0.634 * 0.01 = -0.640 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.371 - 0.279 = -0.650 * 0.01 = -0.657 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.374 - 0.274 = -0.647 * 0.01 = -0.654 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.353 - 0.278 = -0.631 * 0.01 = -0.637 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.340 - 0.285 = -0.625 * 0.01 = -0.631 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.334 - 0.296 = -0.631 * 0.01 = -0.637 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.332 - 0.314 = -0.646 * 0.01 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.330 - 0.349 = -0.679 * 0.01 = -0.686 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X1 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 6 slack = -0.508 worst_slack = -0.508 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.023 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.011 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.337 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.327 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.321 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.320 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.320 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.319 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.343 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.331 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.323 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.321 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.320 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.319 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.348 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.334 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.324 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.324 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.322 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.320 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.319 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.357 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.339 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.328 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.328 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.323 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.321 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.320 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.361 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.361 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.342 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.342 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.330 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.324 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.324 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.322 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.320 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.364 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.364 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.343 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.331 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.325 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.322 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.320 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.244 - 0.306 = -0.550 * 0.00 = -0.550 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.330 - 0.289 = -0.618 * 0.01 = -0.625 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.342 - 0.283 = -0.624 * 0.01 = -0.631 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.361 - 0.279 = -0.641 * 0.01 = -0.647 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.364 - 0.274 = -0.638 * 0.01 = -0.644 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.343 - 0.278 = -0.621 * 0.01 = -0.628 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.331 - 0.285 = -0.616 * 0.01 = -0.622 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.325 - 0.296 = -0.621 * 0.01 = -0.627 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.322 - 0.314 = -0.636 * 0.01 = -0.643 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.320 - 0.349 = -0.669 * 0.01 = -0.676 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 7 slack = -0.505 worst_slack = -0.505 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.023 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.011 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.010 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.335 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.335 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.324 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.324 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.318 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.317 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.317 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.316 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.340 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.328 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.328 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.320 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.318 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.317 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.316 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.345 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.331 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.322 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.319 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.318 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.317 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.354 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.354 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.337 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.337 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.325 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.321 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.319 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.317 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.359 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.359 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.339 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.339 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.327 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.322 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.319 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.317 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.361 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.361 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.341 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.328 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.328 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.322 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.320 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.317 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.241 - 0.306 = -0.547 * 0.00 = -0.547 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.327 - 0.289 = -0.616 * 0.01 = -0.622 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.339 - 0.283 = -0.622 * 0.01 = -0.628 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.359 - 0.279 = -0.638 * 0.01 = -0.644 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.361 - 0.274 = -0.635 * 0.01 = -0.642 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.341 - 0.278 = -0.619 * 0.01 = -0.625 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.328 - 0.285 = -0.613 * 0.01 = -0.619 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.322 - 0.296 = -0.619 * 0.01 = -0.625 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.320 - 0.314 = -0.634 * 0.01 = -0.640 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.317 - 0.349 = -0.667 * 0.01 = -0.673 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 8 slack = -0.500 worst_slack = -0.500 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.023 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.009 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.010 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.330 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.319 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.313 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.312 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.312 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.311 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.335 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.335 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.323 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.315 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.315 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.313 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.312 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.311 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.340 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.340 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.326 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.326 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.317 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.314 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.313 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.312 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.349 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.349 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.332 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.320 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.316 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.314 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.312 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.354 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.354 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.334 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.322 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.322 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.317 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.314 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.312 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.356 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.356 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.336 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.323 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.317 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.315 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.315 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.312 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.236 - 0.306 = -0.542 * 0.00 = -0.542 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.322 - 0.289 = -0.611 * 0.01 = -0.617 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.334 - 0.283 = -0.617 * 0.01 = -0.623 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.354 - 0.279 = -0.633 * 0.01 = -0.639 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.356 - 0.274 = -0.630 * 0.01 = -0.637 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.336 - 0.278 = -0.614 * 0.01 = -0.620 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.323 - 0.285 = -0.608 * 0.01 = -0.614 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.317 - 0.296 = -0.614 * 0.01 = -0.620 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.315 - 0.314 = -0.629 * 0.01 = -0.635 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.312 - 0.349 = -0.662 * 0.01 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X2 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 9 slack = -0.495 worst_slack = -0.495 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.035 intrinsic_delay = 0.228 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.026 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.011 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.009 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.010 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.325 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.315 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.315 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.308 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.307 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.307 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.306 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.330 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.330 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.318 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.310 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.308 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.308 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.336 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.336 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.321 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.312 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.309 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.308 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.307 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.345 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.327 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.316 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.311 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.309 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.349 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.349 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.329 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.317 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.317 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.312 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.309 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.307 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.352 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.352 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.331 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.331 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.318 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.313 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.310 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.308 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.231 - 0.306 = -0.537 * 0.00 = -0.537 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.317 - 0.289 = -0.606 * 0.01 = -0.612 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.329 - 0.283 = -0.612 * 0.01 = -0.618 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.349 - 0.279 = -0.628 * 0.01 = -0.634 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.352 - 0.274 = -0.625 * 0.01 = -0.632 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.331 - 0.278 = -0.609 * 0.01 = -0.615 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.318 - 0.285 = -0.603 * 0.01 = -0.609 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.313 - 0.296 = -0.609 * 0.01 = -0.615 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.310 - 0.314 = -0.624 * 0.01 = -0.630 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.308 - 0.349 = -0.657 * 0.01 = -0.663 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X4 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 10 slack = -0.492 worst_slack = -0.492 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] u1/r3/D slack = -0.154 worst_slack = -0.492 +[DEBUG RSZ-repair_setup] Doing 2 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.065 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.321 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.311 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.304 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.327 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.314 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.303 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.332 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.318 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.308 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.305 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.304 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.303 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.341 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.323 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.312 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.305 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.303 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.345 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.325 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.313 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.308 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.306 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.304 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.348 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.327 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.314 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.309 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.306 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.304 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.228 - 0.306 = -0.533 * 0.00 = -0.533 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.313 - 0.289 = -0.602 * 0.01 = -0.608 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.325 - 0.283 = -0.608 * 0.01 = -0.614 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.345 - 0.279 = -0.624 * 0.01 = -0.631 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.348 - 0.274 = -0.622 * 0.01 = -0.628 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.327 - 0.278 = -0.605 * 0.01 = -0.611 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.314 - 0.285 = -0.599 * 0.01 = -0.605 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.309 - 0.296 = -0.605 * 0.01 = -0.611 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.306 - 0.314 = -0.620 * 0.01 = -0.626 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.304 - 0.349 = -0.653 * 0.01 = -0.660 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-repair_setup] bailing out u1/r3/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r4/D slack = -0.351 worst_slack = -0.492 +[DEBUG RSZ-repair_setup] Doing 3 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.065 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.150 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X1 fanout = 5 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer1/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.280 worst_slack = -0.494 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.071 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.096 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X2 fanout = 5 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer1/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer1 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.255 worst_slack = -0.499 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.083 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.072 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.25, 6.83) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.323 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.313 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.307 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.306 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.329 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.316 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.308 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.305 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.334 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.320 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.310 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.307 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.306 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.305 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.343 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.325 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.314 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.309 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.306 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.347 -> buffer (3.25, 6.83) BUF_X1 cap 0.935 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.327 -> buffer (3.25, 6.83) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.315 -> buffer (3.25, 6.83) BUF_X4 cap 3.252 req -0.315 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.310 -> buffer (3.25, 6.83) BUF_X8 cap 6.360 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.308 -> buffer (3.25, 6.83) BUF_X16 cap 12.271 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.306 -> buffer (3.25, 6.83) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.357 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.333 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.319 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.312 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.309 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.306 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.230 - 0.312 = -0.542 * 0.00 = -0.542 cap 11.699 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.315 - 0.297 = -0.612 * 0.01 = -0.618 cap 6.578 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.327 - 0.292 = -0.619 * 0.01 = -0.625 cap 5.030 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.347 - 0.289 = -0.636 * 0.01 = -0.642 cap 4.261 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.357 - 0.274 = -0.630 * 0.01 = -0.637 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.333 - 0.278 = -0.611 * 0.01 = -0.617 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.319 - 0.285 = -0.604 * 0.01 = -0.610 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.312 - 0.296 = -0.609 * 0.01 = -0.615 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.309 - 0.314 = -0.623 * 0.01 = -0.629 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.306 - 0.349 = -0.656 * 0.01 = -0.662 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X4 fanout = 5 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load u1/r4/D (0.89, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r7/D (0.89, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r8/D (0.89, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r11/D (0.89, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r10/D (0.89, 0.61) cap 1.158 req INF +[DEBUG RSZ-rebuffer] driver rebuffer1/Z +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.106 -> buffer (0.89, 6.22) BUF_X1 cap 0.935 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.129 -> buffer (0.89, 6.22) BUF_X2 cap 1.704 req 0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.142 -> buffer (0.89, 6.22) BUF_X4 cap 3.252 req 0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.147 -> buffer (0.89, 6.22) BUF_X8 cap 6.360 req 0.147 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.150 -> buffer (0.89, 6.22) BUF_X16 cap 12.271 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.151 -> buffer (0.89, 6.22) BUF_X32 cap 25.711 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.094 -> buffer (3.97, 6.89) BUF_X1 cap 0.935 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.123 -> buffer (3.97, 6.89) BUF_X2 cap 1.704 req 0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.139 -> buffer (3.97, 6.89) BUF_X4 cap 3.252 req 0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.146 -> buffer (3.97, 6.89) BUF_X8 cap 6.360 req 0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.149 -> buffer (3.97, 6.89) BUF_X16 cap 12.271 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.151 -> buffer (3.97, 6.89) BUF_X32 cap 25.711 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.220 - 0.081 = 0.139 * 0.00 = 0.139 cap 6.249 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.142 - 0.078 = 0.065 * 0.01 = 0.064 cap 4.644 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.129 - 0.074 = 0.055 * 0.01 = 0.054 cap 3.096 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.106 - 0.072 = 0.034 * 0.01 = 0.033 cap 2.326 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.094 - 0.069 = 0.025 * 0.01 = 0.025 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.123 - 0.071 = 0.052 * 0.01 = 0.052 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.139 - 0.074 = 0.065 * 0.01 = 0.064 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.146 - 0.082 = 0.064 * 0.01 = 0.063 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.149 - 0.095 = 0.054 * 0.01 = 0.054 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.151 - 0.123 = 0.028 * 0.01 = 0.027 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 37 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 37 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r7/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 55 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 55 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r8/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r11/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r10/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 2 +[DEBUG RSZ-repair_setup] No change after 2 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.351 worst slack -0.492 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r4/D no changes after 2 decreasing passes +[DEBUG RSZ-repair_setup] u1/r5/D slack = -0.154 worst_slack = -0.492 +[DEBUG RSZ-repair_setup] Doing 4 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.065 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.321 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.311 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.304 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.327 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.314 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.303 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.332 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.318 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.308 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.305 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.304 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.303 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.341 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.323 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.312 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.305 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.303 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.345 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.325 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.313 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.308 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.306 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.304 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.348 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.327 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.314 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.309 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.306 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.304 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.228 - 0.306 = -0.533 * 0.00 = -0.533 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.313 - 0.289 = -0.602 * 0.01 = -0.608 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.325 - 0.283 = -0.608 * 0.01 = -0.614 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.345 - 0.279 = -0.624 * 0.01 = -0.631 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.348 - 0.274 = -0.622 * 0.01 = -0.628 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.327 - 0.278 = -0.605 * 0.01 = -0.611 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.314 - 0.285 = -0.599 * 0.01 = -0.605 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.309 - 0.296 = -0.605 * 0.01 = -0.611 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.306 - 0.314 = -0.620 * 0.01 = -0.626 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.304 - 0.349 = -0.653 * 0.01 = -0.660 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-repair_setup] bailing out u1/r5/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r6/D slack = -0.154 worst_slack = -0.492 +[DEBUG RSZ-repair_setup] Doing 5 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.065 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.26, 6.83) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.321 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.321 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.311 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.311 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.304 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.303 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.327 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.314 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.304 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.303 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.332 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.332 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.318 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.318 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.308 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.305 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.304 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.303 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.341 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.341 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.323 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.312 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.305 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.303 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.303 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.345 -> buffer (3.26, 6.83) BUF_X1 cap 0.935 req -0.345 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.325 -> buffer (3.26, 6.83) BUF_X2 cap 1.704 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.313 -> buffer (3.26, 6.83) BUF_X4 cap 3.252 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.308 -> buffer (3.26, 6.83) BUF_X8 cap 6.360 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.306 -> buffer (3.26, 6.83) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.304 -> buffer (3.26, 6.83) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.348 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.348 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.327 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.314 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.309 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.306 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.382 req -0.304 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.304 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.228 - 0.306 = -0.533 * 0.00 = -0.533 cap 9.382 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.313 - 0.289 = -0.602 * 0.01 = -0.608 cap 4.262 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.325 - 0.283 = -0.608 * 0.01 = -0.614 cap 2.714 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.345 - 0.279 = -0.624 * 0.01 = -0.631 cap 1.944 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.348 - 0.274 = -0.622 * 0.01 = -0.628 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.327 - 0.278 = -0.605 * 0.01 = -0.611 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.314 - 0.285 = -0.599 * 0.01 = -0.605 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.309 - 0.296 = -0.605 * 0.01 = -0.611 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.306 - 0.314 = -0.620 * 0.01 = -0.626 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.304 - 0.349 = -0.653 * 0.01 = -0.660 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-repair_setup] bailing out u1/r6/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r7/D slack = -0.351 worst_slack = -0.492 +[DEBUG RSZ-repair_setup] Doing 6 /6 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.065 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.150 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X1 fanout = 5 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer1/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.280 worst_slack = -0.494 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.071 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.096 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X2 fanout = 5 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer1/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer1 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.255 worst_slack = -0.499 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.083 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] rebuffer1/Z load_delay = 0.072 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load rebuffer1/A (3.25, 6.83) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (4.12, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (4.12, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (4.12, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (7.35, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.40, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.323 -> buffer (7.35, 0.61) BUF_X1 cap 0.935 req -0.323 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.313 -> buffer (7.35, 0.61) BUF_X2 cap 1.704 req -0.313 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.307 -> buffer (7.35, 0.61) BUF_X4 cap 3.252 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.306 -> buffer (7.35, 0.61) BUF_X8 cap 6.360 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X16 cap 12.271 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.934 req -0.305 -> buffer (7.35, 0.61) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.329 -> buffer (7.35, 2.19) BUF_X1 cap 0.935 req -0.329 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.316 -> buffer (7.35, 2.19) BUF_X2 cap 1.704 req -0.316 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.308 -> buffer (7.35, 2.19) BUF_X4 cap 3.252 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X8 cap 6.360 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.306 -> buffer (7.35, 2.19) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.161 req -0.305 -> buffer (7.35, 2.19) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.334 -> buffer (4.12, 2.19) BUF_X1 cap 0.935 req -0.334 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.320 -> buffer (4.12, 2.19) BUF_X2 cap 1.704 req -0.320 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.310 -> buffer (4.12, 2.19) BUF_X4 cap 3.252 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.307 -> buffer (4.12, 2.19) BUF_X8 cap 6.360 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.306 -> buffer (4.12, 2.19) BUF_X16 cap 12.271 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.513 req -0.305 -> buffer (4.12, 2.19) BUF_X32 cap 25.711 req -0.305 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.343 -> buffer (4.12, 4.99) BUF_X1 cap 0.935 req -0.343 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.325 -> buffer (4.12, 4.99) BUF_X2 cap 1.704 req -0.325 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.314 -> buffer (4.12, 4.99) BUF_X4 cap 3.252 req -0.314 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.309 -> buffer (4.12, 4.99) BUF_X8 cap 6.360 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.307 -> buffer (4.12, 4.99) BUF_X16 cap 12.271 req -0.307 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.059 req -0.306 -> buffer (4.12, 4.99) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.347 -> buffer (3.25, 6.83) BUF_X1 cap 0.935 req -0.347 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.327 -> buffer (3.25, 6.83) BUF_X2 cap 1.704 req -0.327 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.315 -> buffer (3.25, 6.83) BUF_X4 cap 3.252 req -0.315 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.310 -> buffer (3.25, 6.83) BUF_X8 cap 6.360 req -0.310 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.308 -> buffer (3.25, 6.83) BUF_X16 cap 12.271 req -0.308 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.372 req -0.306 -> buffer (3.25, 6.83) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.357 -> buffer (3.13, 7.70) BUF_X1 cap 0.935 req -0.357 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.333 -> buffer (3.13, 7.70) BUF_X2 cap 1.704 req -0.333 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.319 -> buffer (3.13, 7.70) BUF_X4 cap 3.252 req -0.319 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.312 -> buffer (3.13, 7.70) BUF_X8 cap 6.360 req -0.312 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.309 -> buffer (3.13, 7.70) BUF_X16 cap 12.271 req -0.309 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.699 req -0.306 -> buffer (3.13, 7.70) BUF_X32 cap 25.711 req -0.306 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.230 - 0.312 = -0.542 * 0.00 = -0.542 cap 11.699 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.315 - 0.297 = -0.612 * 0.01 = -0.618 cap 6.578 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.327 - 0.292 = -0.619 * 0.01 = -0.625 cap 5.030 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.347 - 0.289 = -0.636 * 0.01 = -0.642 cap 4.261 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.357 - 0.274 = -0.630 * 0.01 = -0.637 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.333 - 0.278 = -0.611 * 0.01 = -0.617 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.319 - 0.285 = -0.604 * 0.01 = -0.610 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.312 - 0.296 = -0.609 * 0.01 = -0.615 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.309 - 0.314 = -0.623 * 0.01 = -0.629 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.306 - 0.349 = -0.656 * 0.01 = -0.662 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 43 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 43 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r6/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 49 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 49 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 49 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 31 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 31 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 31 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 2 +[DEBUG RSZ-repair_setup] rebuffer1/Z BUF_X4 fanout = 5 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load u1/r4/D (0.89, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r7/D (0.89, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r8/D (0.89, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r11/D (0.89, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r10/D (0.89, 0.61) cap 1.158 req INF +[DEBUG RSZ-rebuffer] driver rebuffer1/Z +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.106 -> buffer (0.89, 6.22) BUF_X1 cap 0.935 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.129 -> buffer (0.89, 6.22) BUF_X2 cap 1.704 req 0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.142 -> buffer (0.89, 6.22) BUF_X4 cap 3.252 req 0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.147 -> buffer (0.89, 6.22) BUF_X8 cap 6.360 req 0.147 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.150 -> buffer (0.89, 6.22) BUF_X16 cap 12.271 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.857 req 0.151 -> buffer (0.89, 6.22) BUF_X32 cap 25.711 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.094 -> buffer (3.97, 6.89) BUF_X1 cap 0.935 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.123 -> buffer (3.97, 6.89) BUF_X2 cap 1.704 req 0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.139 -> buffer (3.97, 6.89) BUF_X4 cap 3.252 req 0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.146 -> buffer (3.97, 6.89) BUF_X8 cap 6.360 req 0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.149 -> buffer (3.97, 6.89) BUF_X16 cap 12.271 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.249 req 0.151 -> buffer (3.97, 6.89) BUF_X32 cap 25.711 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.220 - 0.081 = 0.139 * 0.00 = 0.139 cap 6.249 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.142 - 0.078 = 0.065 * 0.01 = 0.064 cap 4.644 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.129 - 0.074 = 0.055 * 0.01 = 0.054 cap 3.096 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.106 - 0.072 = 0.034 * 0.01 = 0.033 cap 2.326 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.094 - 0.069 = 0.025 * 0.01 = 0.025 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.123 - 0.071 = 0.052 * 0.01 = 0.052 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.139 - 0.074 = 0.065 * 0.01 = 0.064 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.146 - 0.082 = 0.064 * 0.01 = 0.063 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.149 - 0.095 = 0.054 * 0.01 = 0.054 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.151 - 0.123 = 0.028 * 0.01 = 0.027 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 37 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 37 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 37 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r7/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 55 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 55 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 55 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r8/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r11/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r10/D to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 8 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 2 +[DEBUG RSZ-repair_setup] No change after 2 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.351 worst slack -0.492 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r7/D no changes after 2 decreasing passes +[INFO RSZ-0040] Inserted 1 buffers. +[INFO RSZ-0041] Resized 11 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +No differences found. diff --git a/src/rsz/test/repair_setup4_hier.tcl b/src/rsz/test/repair_setup4_hier.tcl index 89071cf1a5c..21a4912ab41 100644 --- a/src/rsz/test/repair_setup4_hier.tcl +++ b/src/rsz/test/repair_setup4_hier.tcl @@ -31,9 +31,11 @@ set_debug_level RSZ "rebuffer" 3 set_debug_level RSZ "make_buffered_net" 4 set_debug_level RSZ "rebuffer" 3 set_debug_level RSZ "journal" 1 +set_debug_level ODB "DB_ECO" 1 -repair_timing -setup -skip_last_gasp -skip_pin_swap -skip_gate_cloning -skip_buffer_removal -max_passes 1 +repair_timing -setup -skip_last_gasp -skip_pin_swap -skip_gate_cloning -skip_buffer_removal -max_passes 10 - -write_verilog repair_setup4_after_hier.v +set verilog_file [make_result_file repair_setup4_hier_out.v] +write_verilog $verilog_file +diff_files $verilog_file repair_setup4_hier_out.vok diff --git a/src/rsz/test/repair_setup4_hier_out.vok b/src/rsz/test/repair_setup4_hier_out.vok new file mode 100644 index 00000000000..532186e7837 --- /dev/null +++ b/src/rsz/test/repair_setup4_hier_out.vok @@ -0,0 +1,45 @@ +module reg1 (clk); + input clk; + + + BUF_X1 rebuffer1 (.A(r1q), + .Z(net4)); + DFF_X1 r1 (.CK(clk), + .Q(r1q)); + submodule u1 (.r1q(net4), + .clk(clk)); +endmodule +module submodule (r1q, + clk); + input r1q; + input clk; + + + DFF_X1 r10 (.D(r1q)); + DFF_X1 r11 (.D(r1q)); + DFF_X1 r12 (.D(r1q)); + DFF_X1 r2 (.D(\u1/u5z ), + .CK(clk)); + DFF_X1 r3 (.D(r1q), + .CK(clk)); + DFF_X1 r4 (.D(r1q), + .CK(clk)); + DFF_X1 r5 (.D(r1q), + .CK(clk)); + DFF_X1 r6 (.D(r1q), + .CK(clk)); + DFF_X1 r7 (.D(r1q), + .CK(clk)); + DFF_X1 r8 (.D(r1q)); + DFF_X1 r9 (.D(r1q)); + BUF_X2 u1 (.A(r1q), + .Z(\u1/u1z )); + BUF_X4 u2 (.A(\u1/u1z ), + .Z(\u1/u2z )); + BUF_X4 u3 (.A(\u1/u2z ), + .Z(\u1/u3z )); + BUF_X4 u4 (.A(\u1/u3z ), + .Z(\u1/u4z )); + BUF_X4 u5 (.A(\u1/u4z ), + .Z(\u1/u5z )); +endmodule From ee1f044075350c0bee48a29e8c6e9c05834a4524 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 16:55:40 -0700 Subject: [PATCH 06/19] Factor hierarchical connections to mimimize punch through Signed-off-by: andyfox-rushc --- src/dbSta/include/db_sta/dbNetwork.hh | 4 ++ src/dbSta/src/dbNetwork.cc | 74 +++++++++++++++++++++++++++ src/rsz/src/RepairSetup.cc | 5 +- 3 files changed, 80 insertions(+), 3 deletions(-) diff --git a/src/dbSta/include/db_sta/dbNetwork.hh b/src/dbSta/include/db_sta/dbNetwork.hh index b932f7eaba9..2f59cd234f7 100644 --- a/src/dbSta/include/db_sta/dbNetwork.hh +++ b/src/dbSta/include/db_sta/dbNetwork.hh @@ -183,6 +183,10 @@ class dbNetwork : public ConcreteNetwork dbModule* getNetDriverParentModule(Net* net); Instance* getOwningInstanceParent(Pin* pin); + bool ConnectionToModuleExists(dbITerm* source_pin, + dbModule* dest_module, + dbModBTerm*& dest_modbterm); + void hierarchicalConnect(dbITerm* source_pin, dbITerm* dest_pin, const char* connection_name); diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index 5e8478fca75..7fca8ab64d2 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -2814,6 +2814,70 @@ dbModule* dbNetwork::findHighestCommonModule(std::vector& itree1, return common_module; // default to top } +class PinModuleConnection : public PinVisitor +{ + public: + PinModuleConnection(const dbNetwork* nwk, + const Pin* drvr_pin, + const dbModule* target_module_); + virtual void operator()(const Pin* pin); + + protected: + const dbNetwork* db_network_; + const Pin* drvr_pin_; + const dbModule* target_module_; + dbModBTerm* dest_modbterm_; + friend class dbNetwork; +}; + +PinModuleConnection::PinModuleConnection(const dbNetwork* nwk, + const Pin* drvr_pin, + const dbModule* target_module) +{ + db_network_ = nwk; + drvr_pin_ = drvr_pin; + target_module_ = target_module; + dest_modbterm_ = nullptr; +} + +void PinModuleConnection::operator()(const Pin* pin) +{ + dbITerm* iterm; + dbBTerm* bterm; + dbModBTerm* modbterm; + dbModITerm* moditerm; + db_network_->staToDb(pin, iterm, bterm, moditerm, modbterm); + (void) (iterm); + (void) (bterm); + (void) (modbterm); + if (moditerm) { + std::string port_name_str = moditerm->getName(); + size_t last_idx = port_name_str.find_last_of('/'); + if (last_idx != string::npos) { + port_name_str = port_name_str.substr(last_idx + 1); + } + const char* port_name = port_name_str.c_str(); + dbModInst* mod_inst = moditerm->getParent(); + dbModule* module = mod_inst->getMaster(); + if (module == target_module_) { + dest_modbterm_ = module->findModBTerm(port_name); + } + } +} + +bool dbNetwork::ConnectionToModuleExists(dbITerm* source_pin, + dbModule* dest_module, + dbModBTerm*& dest_modbterm) +{ + PinModuleConnection visitor(this, dbToSta(source_pin), dest_module); + network_->visitConnectedPins(dbToSta(source_pin), visitor); + if (visitor.dest_modbterm_ != nullptr) { + dest_modbterm = visitor.dest_modbterm_; + return true; + } + return false; +} + /* Connect any two leaf instance pins anywhere in hierarchy adding pins/nets/ports on the hierarchical objects @@ -2837,6 +2901,16 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, } dest_pin->connect(source_db_mod_net); } else { + // Attempt to factor connection (minimize punch through) + dbModBTerm* dest_modbterm; + if (ConnectionToModuleExists(source_pin, dest_db_module, dest_modbterm)) { + dbModNet* dest_mod_net = dest_modbterm->getModNet(); + if (dest_mod_net) { + dest_pin->connect(dest_mod_net); + return; + } + } + // case 2: source/dest in different modules. Find highest // common module, traverse up adding pins/nets and make // connection in highest common module diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 3e1bee3cd4e..967a63b3d07 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -1563,7 +1563,8 @@ void RepairSetup::splitLoads(const PathRef* drvr_path, if (!network_->isTopLevelPort(load_pin)) { LibertyPort* load_port = network_->libertyPort(load_pin); Instance* load = network_->instance(load_pin); - + (void) (load_port); + (void) (load); // stash the modnet for the load dbNet* db_load_net; odb::dbModNet* db_mod_load_net; @@ -1583,8 +1584,6 @@ void RepairSetup::splitLoads(const PathRef* drvr_path, Instance* load_parent = db_network_->getOwningInstanceParent(load_pin); if (load_parent != parent) { - printf("Got split load across hierarchy !\n"); - std::string unique_connection_name = resizer_->makeUniqueNetName(); odb::dbITerm* load_pin_iterm; From 20ffe7a80b0a7d579bf0a1792338be1bc3b4fb24 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 17:09:40 -0700 Subject: [PATCH 07/19] Removed debug code Signed-off-by: andyfox-rushc --- src/rsz/src/RepairSetup.cc | 2 -- 1 file changed, 2 deletions(-) diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 967a63b3d07..9d56ad898dc 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -1427,8 +1427,6 @@ void RepairSetup::splitLoads(const PathRef* drvr_path, const Slack drvr_slack, PathExpanded* expanded) { - static int debug; - debug++; Pin* drvr_pin = drvr_path->pin(this); const PathRef* load_path = expanded->path(drvr_index + 1); From efd06d138219692265488a1bee3c713b0017f3df Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 17:17:28 -0700 Subject: [PATCH 08/19] Split Load Hierarchy Unit test Signed-off-by: andyfox-rushc --- src/rsz/test/regression_tests.tcl | 1 + src/rsz/test/split_load_hier.ok | 11401 +++++++++++++++++++++++++ src/rsz/test/split_load_hier.tcl | 41 + src/rsz/test/split_load_hier.v | 146 + src/rsz/test/split_load_hier_out.vok | 131 + 5 files changed, 11720 insertions(+) create mode 100644 src/rsz/test/split_load_hier.ok create mode 100644 src/rsz/test/split_load_hier.tcl create mode 100644 src/rsz/test/split_load_hier.v create mode 100644 src/rsz/test/split_load_hier_out.vok diff --git a/src/rsz/test/regression_tests.tcl b/src/rsz/test/regression_tests.tcl index b47142cf3bb..af255fe157f 100644 --- a/src/rsz/test/regression_tests.tcl +++ b/src/rsz/test/regression_tests.tcl @@ -1,4 +1,5 @@ record_tests { + split_load_hier resize1_hier repair_hold1_hier buffer_ports1 diff --git a/src/rsz/test/split_load_hier.ok b/src/rsz/test/split_load_hier.ok new file mode 100644 index 00000000000..20e6ae86e77 --- /dev/null +++ b/src/rsz/test/split_load_hier.ok @@ -0,0 +1,11401 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[WARNING STA-1396] split_load_hier.v line 47, instance name u1 duplicated - renamed to u1_1. +[WARNING STA-1396] split_load_hier.v line 49, instance name r2 duplicated - renamed to r2_1. +[WARNING STA-1396] split_load_hier.v line 52, instance name u1 duplicated - renamed to u1_2. +[WARNING STA-1396] split_load_hier.v line 54, instance name r2 duplicated - renamed to r2_2. +[WARNING STA-1396] split_load_hier.v line 57, instance name u1 duplicated - renamed to u1_3. +[WARNING STA-1396] split_load_hier.v line 59, instance name r2 duplicated - renamed to r2_3. +[WARNING STA-1396] split_load_hier.v line 63, instance name u1 duplicated - renamed to u1_4. +[WARNING STA-1396] split_load_hier.v line 65, instance name r2 duplicated - renamed to r2_4. +[WARNING STA-1396] split_load_hier.v line 68, instance name u1 duplicated - renamed to u1_5. +[WARNING STA-1396] split_load_hier.v line 70, instance name r2 duplicated - renamed to r2_5. +[WARNING STA-1396] split_load_hier.v line 73, instance name u1 duplicated - renamed to u1_6. +[WARNING STA-1396] split_load_hier.v line 75, instance name r2 duplicated - renamed to r2_6. +[WARNING STA-1396] split_load_hier.v line 78, instance name u1 duplicated - renamed to u1_7. +[WARNING STA-1396] split_load_hier.v line 80, instance name r2 duplicated - renamed to r2_7. +[WARNING STA-1396] split_load_hier.v line 83, instance name u1 duplicated - renamed to u1_8. +[WARNING STA-1396] split_load_hier.v line 85, instance name r2 duplicated - renamed to r2_8. +[WARNING STA-1396] split_load_hier.v line 88, instance name u1 duplicated - renamed to u1_9. +[WARNING STA-1396] split_load_hier.v line 90, instance name r2 duplicated - renamed to r2_9. +[WARNING STA-1396] split_load_hier.v line 93, instance name u1 duplicated - renamed to u1_10. +[WARNING STA-1396] split_load_hier.v line 95, instance name r2 duplicated - renamed to r2_10. +[WARNING STA-1396] split_load_hier.v line 98, instance name u1 duplicated - renamed to u1_11. +[WARNING STA-1396] split_load_hier.v line 100, instance name r2 duplicated - renamed to r2_11. +[WARNING STA-1396] split_load_hier.v line 103, instance name u1 duplicated - renamed to u1_12. +[WARNING STA-1396] split_load_hier.v line 105, instance name r2 duplicated - renamed to r2_12. +[WARNING STA-1396] split_load_hier.v line 108, instance name u1 duplicated - renamed to u1_13. +[WARNING STA-1396] split_load_hier.v line 110, instance name r2 duplicated - renamed to r2_13. +[WARNING STA-1396] split_load_hier.v line 113, instance name u1 duplicated - renamed to u1_14. +[WARNING STA-1396] split_load_hier.v line 115, instance name r2 duplicated - renamed to r2_14. +[WARNING STA-1396] split_load_hier.v line 119, instance name u1 duplicated - renamed to u1_15. +[WARNING STA-1396] split_load_hier.v line 129, instance name r2 duplicated - renamed to r2_15. +[INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. +[INFO GPL-0002] DBU: 2000 +[INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um +[INFO GPL-0004] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[WARNING GPL-0001] clk toplevel port is not placed! + Replace will regard clk is placed in (0, 0) +[INFO GPL-0006] NumInstances: 47 +[INFO GPL-0007] NumPlaceInstances: 47 +[INFO GPL-0008] NumFixedInstances: 0 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 22 +[INFO GPL-0011] NumPins: 90 +[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 40.000 1200.000 ) um +[INFO GPL-0013] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[INFO GPL-0016] CoreArea: 47872.020 um^2 +[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2 +[INFO GPL-0018] PlaceInstsArea: 138.054 um^2 +[INFO GPL-0019] Util: 0.288 % +[INFO GPL-0020] StdInstsArea: 138.054 um^2 +[INFO GPL-0021] MacroInstsArea: 0.000 um^2 +[InitialPlace] Iter: 1 CG residual: 0.00000010 HPWL: 182440 +[InitialPlace] Iter: 2 CG residual: 0.00000008 HPWL: 200252 +[InitialPlace] Iter: 3 CG residual: 0.00000009 HPWL: 195784 +[InitialPlace] Iter: 4 CG residual: 0.00000007 HPWL: 194849 +[InitialPlace] Iter: 5 CG residual: 0.00000008 HPWL: 194516 +Placement Analysis +--------------------------------- +total displacement 590.5 u +average displacement 12.6 u +max displacement 18.0 u +original HPWL 5.0 u +legalized HPWL 257.2 u +delta HPWL 5027 % + +worst slack -0.59 +tns -7.917 +[DEBUG RSZ-repair_setup] Violating endpoints 21/79 26% +[INFO RSZ-0094] Found 21 endpoints with setup violations. +[INFO RSZ-0099] Repairing 21 out of 21 (100.00%) violating endpoints... +[DEBUG RSZ-repair_setup] u1/r2_15/D slack = -0.593 worst_slack = -0.593 +[DEBUG RSZ-repair_setup] Doing 1 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.201 intrinsic_delay = 0.289 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.079 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.022 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.021 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 26 drvr_index = 2 +[DEBUG RSZ-repair_setup] resize r1/Q DFF_X1 -> DFF_X2 +[DEBUG RSZ-journal] journal replace r1 (DFF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.570 worst_slack = -0.570 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.076 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.058 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.020 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.021 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 26 drvr_index = 2 +[DEBUG RSZ-repair_setup] split loads r1/Q -> u1/u1_15/A +[DEBUG RSZ-repair_setup] fanin u1/r10/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r11/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r12/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r3/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r4/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r5/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r6/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r7/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r8/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r9/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/u1/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_1/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_10/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_11/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_12/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_13/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_14/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_15/A slack_margin = 0.000 +[DEBUG RSZ-repair_setup] fanin u1/u1_2/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_3/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_4/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_5/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_6/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_7/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_8/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u1_9/A slack_margin = 0.214 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 13110, 21035 +[DEBUG RSZ-journal] journal make_buffer split1 +[DEBUG ODB-DB_ECO] ECO: create net, name net1 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 243 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to net 23 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to modnet 6 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 23 +Factored Connection from split1/Z to u1/r11/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 23 +Factored Connection from split1/Z to u1/r12/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 23 +Factored Connection from split1/Z to u1/r8/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 23 +Factored Connection from split1/Z to u1/r9/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 23 +Factored Connection from split1/Z to u1/r3/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 23 +Factored Connection from split1/Z to u1/r4/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 23 +Factored Connection from split1/Z to u1/r5/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 23 +Factored Connection from split1/Z to u1/r6/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 23 +Factored Connection from split1/Z to u1/r7/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 23 +Factored Connection from split1/Z to u1/u1/A +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 23 +Factored Connection from split1/Z to u1/u1_1/A +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 23 +Factored Connection from split1/Z to u1/u1_10/A +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-journal] journal replace split1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.549 worst_slack = -0.549 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.025 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.020 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 14 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.87, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.067 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.073 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.078 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.050 -> buffer (9.82, 6.21) BUF_X1 cap 0.935 req 0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.065 -> buffer (9.82, 6.21) BUF_X2 cap 1.704 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.074 -> buffer (9.82, 6.21) BUF_X4 cap 3.252 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.077 -> buffer (9.82, 6.21) BUF_X8 cap 6.360 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.078 -> buffer (9.82, 6.21) BUF_X16 cap 12.271 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.818 req 0.079 -> buffer (9.82, 6.21) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.041 -> buffer (8.87, 7.79) BUF_X1 cap 0.935 req 0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.060 -> buffer (8.87, 7.79) BUF_X2 cap 1.704 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.071 -> buffer (8.87, 7.79) BUF_X4 cap 3.252 req 0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.075 -> buffer (8.87, 7.79) BUF_X8 cap 6.360 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.077 -> buffer (8.87, 7.79) BUF_X16 cap 12.271 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.943 req 0.079 -> buffer (8.87, 7.79) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.358 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.358 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.348 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.342 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.341 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.341 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.912 req -0.340 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.363 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.363 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.351 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.343 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.342 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.341 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.889 req -0.340 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.367 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.367 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.354 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.354 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.345 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.345 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.342 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.342 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.959 req -0.340 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.371 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.371 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.356 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.356 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.346 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.343 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.342 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.936 req -0.341 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.375 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.375 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.358 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.358 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.348 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.344 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.342 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.986 req -0.341 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.067 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.073 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.078 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.079 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.058 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.069 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.076 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.078 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.078 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.079 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.049 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.064 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.074 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.076 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.078 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.079 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.039 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.059 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.071 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.075 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.077 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.079 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.029 -> buffer (6.55, 11.13) BUF_X1 cap 0.935 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.054 -> buffer (6.55, 11.13) BUF_X2 cap 1.704 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.068 -> buffer (6.55, 11.13) BUF_X4 cap 3.252 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.074 -> buffer (6.55, 11.13) BUF_X8 cap 6.360 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.076 -> buffer (6.55, 11.13) BUF_X16 cap 12.271 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.326 req 0.078 -> buffer (6.55, 11.13) BUF_X32 cap 25.711 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.072 -> buffer (6.55, 11.13) BUF_X1 cap 0.935 req -0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.063 -> buffer (6.55, 11.13) BUF_X2 cap 1.704 req -0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.058 -> buffer (6.55, 11.13) BUF_X4 cap 3.252 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.057 -> buffer (6.55, 11.13) BUF_X8 cap 6.360 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.056 -> buffer (6.55, 11.13) BUF_X16 cap 12.271 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.389 req -0.056 -> buffer (6.55, 11.13) BUF_X32 cap 25.711 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.081 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.081 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.068 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.068 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.060 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.060 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.058 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.058 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.057 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.057 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.370 req -0.056 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.056 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 2 buffers req -0.265 - 0.315 = -0.580 * 0.02 = -0.591 cap 8.356 +[DEBUG RSZ-rebuffer] option 2: 3 buffers req -0.265 - 0.312 = -0.577 * 0.03 = -0.595 cap 6.921 +[DEBUG RSZ-rebuffer] option 3: 3 buffers req -0.348 - 0.310 = -0.658 * 0.03 = -0.678 cap 5.622 +[DEBUG RSZ-rebuffer] option 4: 4 buffers req -0.348 - 0.308 = -0.655 * 0.04 = -0.682 cap 4.187 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.358 - 0.307 = -0.666 * 0.03 = -0.686 cap 4.074 +[DEBUG RSZ-rebuffer] option 6: 4 buffers req -0.356 - 0.307 = -0.663 * 0.04 = -0.689 cap 3.688 +[DEBUG RSZ-rebuffer] option 7: 4 buffers req -0.358 - 0.305 = -0.663 * 0.04 = -0.689 cap 2.638 +[DEBUG RSZ-rebuffer] option 8: 4 buffers req -0.375 - 0.303 = -0.677 * 0.04 = -0.705 cap 1.869 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG ODB-DB_ECO] ECO: create net, name net16 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 17730, 15575 +[DEBUG RSZ-journal] journal make_buffer rebuffer2 +[DEBUG RSZ-rebuffer] insert r1q -> rebuffer2 (BUF_X1) -> net16 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 247 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 248 to net 24 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 3 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 3 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 3 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 248 to modnet 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net17 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 13110, 22260 +[DEBUG RSZ-journal] journal make_buffer rebuffer3 +[DEBUG RSZ-rebuffer] insert r1q -> rebuffer3 (BUF_X1) -> net17 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 251 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 252 to net 25 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 3 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 3 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 3 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 252 to modnet 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] rebuffer r1/Q inserted 2 +[DEBUG RSZ-repair_setup] rebuffer r1/Q inserted 2 +[DEBUG RSZ-repair_setup] pass 3 slack = -0.535 worst_slack = -0.535 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.014 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.017 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_15/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_15 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.529 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.89, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.120 -> buffer (8.89, 7.79) BUF_X1 cap 0.935 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.114 -> buffer (8.89, 7.79) BUF_X2 cap 1.704 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.109 -> buffer (8.89, 7.79) BUF_X4 cap 3.252 req -0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.108 -> buffer (8.89, 7.79) BUF_X8 cap 6.360 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.108 -> buffer (8.89, 7.79) BUF_X16 cap 12.271 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.988 req -0.108 -> buffer (8.89, 7.79) BUF_X32 cap 25.711 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.352 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.352 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.341 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.334 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.334 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.332 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.332 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 2.683 req -0.331 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.357 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.357 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.344 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.335 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.335 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.333 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.332 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.660 req -0.331 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.361 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.361 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.346 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.336 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.333 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.332 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.730 req -0.331 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.364 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.364 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.348 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.338 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.338 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.334 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.334 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.333 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.707 req -0.331 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.368 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.368 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.350 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.339 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.339 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.335 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.335 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.333 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.755 req -0.331 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.120 -> buffer (6.68, 11.13) BUF_X1 cap 0.935 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.114 -> buffer (6.68, 11.13) BUF_X2 cap 1.704 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.109 -> buffer (6.68, 11.13) BUF_X4 cap 3.252 req -0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.108 -> buffer (6.68, 11.13) BUF_X8 cap 6.360 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.108 -> buffer (6.68, 11.13) BUF_X16 cap 12.271 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.108 -> buffer (6.68, 11.13) BUF_X32 cap 25.711 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.133 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.120 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.113 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.110 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.109 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.108 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.371 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.371 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.352 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.352 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.341 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.341 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.335 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.335 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.333 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 7.692 req -0.331 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.331 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.256 - 0.316 = -0.572 * 0.00 = -0.572 cap 9.225 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.256 - 0.314 = -0.569 * 0.01 = -0.575 cap 7.745 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.256 - 0.314 = -0.569 * 0.02 = -0.581 cap 7.692 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.339 - 0.310 = -0.649 * 0.02 = -0.662 cap 5.668 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.339 - 0.308 = -0.647 * 0.03 = -0.666 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.350 - 0.308 = -0.658 * 0.02 = -0.671 cap 4.120 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.348 - 0.307 = -0.655 * 0.03 = -0.675 cap 3.688 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.350 - 0.305 = -0.655 * 0.03 = -0.675 cap 2.641 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.368 - 0.303 = -0.671 * 0.03 = -0.691 cap 1.871 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.371 - 0.301 = -0.672 * 0.03 = -0.692 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.352 - 0.303 = -0.655 * 0.03 = -0.674 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.341 - 0.306 = -0.646 * 0.03 = -0.666 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.335 - 0.311 = -0.647 * 0.03 = -0.666 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.333 - 0.321 = -0.654 * 0.03 = -0.674 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 3 buffers req -0.331 - 0.340 = -0.671 * 0.03 = -0.691 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.533 worst_slack = -0.533 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.015 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 6 slack = -0.529 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.018 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 7 slack = -0.526 worst_slack = -0.526 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X1 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 8 slack = -0.516 worst_slack = -0.516 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.018 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_15/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_15 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 9 slack = -0.511 worst_slack = -0.511 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.017 intrinsic_delay = 0.259 +[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.015 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.139 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.132 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.126 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.338 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.338 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.324 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.315 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.312 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.312 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.342 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.326 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.326 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.317 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.313 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.345 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.345 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.329 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.318 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.314 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.349 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.349 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.331 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.319 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.315 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.352 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.352 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.333 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.321 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.315 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.139 -> buffer (6.68, 11.13) BUF_X1 cap 0.935 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.132 -> buffer (6.68, 11.13) BUF_X2 cap 1.704 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.127 -> buffer (6.68, 11.13) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.127 -> buffer (6.68, 11.13) BUF_X8 cap 6.360 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.127 -> buffer (6.68, 11.13) BUF_X16 cap 12.271 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.126 -> buffer (6.68, 11.13) BUF_X32 cap 25.711 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.151 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.139 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.131 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.131 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.128 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.127 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.414 req -0.126 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.322 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.322 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.316 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.316 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.313 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.313 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.235 - 0.318 = -0.553 * 0.00 = -0.553 cap 10.773 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.235 - 0.316 = -0.551 * 0.01 = -0.557 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.235 - 0.316 = -0.551 * 0.02 = -0.562 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.315 - 0.315 = -0.631 * 0.02 = -0.643 cap 8.776 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.321 - 0.310 = -0.631 * 0.02 = -0.643 cap 5.668 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.321 - 0.308 = -0.628 * 0.03 = -0.647 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.333 - 0.308 = -0.640 * 0.02 = -0.653 cap 4.120 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.333 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.641 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.352 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.871 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.675 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.334 - 0.303 = -0.637 * 0.03 = -0.656 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.322 - 0.306 = -0.627 * 0.03 = -0.646 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.316 - 0.311 = -0.627 * 0.03 = -0.646 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.313 - 0.321 = -0.634 * 0.03 = -0.653 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 3 buffers req -0.311 - 0.340 = -0.651 * 0.03 = -0.670 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 10 slack = -0.509 worst_slack = -0.509 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] u1/r2/D slack = -0.416 worst_slack = -0.509 +[DEBUG RSZ-repair_setup] Doing 2 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.024 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.084 intrinsic_delay = 0.050 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.038 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z CLKBUF_X3 fanout = 13 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize split1/Z CLKBUF_X3 -> BUF_X4 +[DEBUG RSZ-journal] journal replace split1 (CLKBUF_X3) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.381 worst_slack = -0.512 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.066 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.034 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z BUF_X4 fanout = 13 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize split1/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace split1 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.369 worst_slack = -0.518 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.039 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.052 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 13 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (0.89, 10.59) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (0.89, 11.82) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r10/D (4.12, 7.78) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r11/D (7.35, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 4.99) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (10.58, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r8/D (13.81, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.142 -> buffer (0.89, 10.59) BUF_X1 cap 0.935 req 0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.150 -> buffer (0.89, 10.59) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.155 -> buffer (0.89, 10.59) BUF_X4 cap 3.252 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.156 -> buffer (0.89, 10.59) BUF_X8 cap 6.360 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.156 -> buffer (0.89, 10.59) BUF_X16 cap 12.271 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.157 -> buffer (0.89, 10.59) BUF_X32 cap 25.711 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.129 -> buffer (4.12, 9.01) BUF_X1 cap 0.935 req 0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.143 -> buffer (4.12, 9.01) BUF_X2 cap 1.704 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.152 -> buffer (4.12, 9.01) BUF_X4 cap 3.252 req 0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.154 -> buffer (4.12, 9.01) BUF_X8 cap 6.360 req 0.154 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.155 -> buffer (4.12, 9.01) BUF_X16 cap 12.271 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.671 req 0.156 -> buffer (4.12, 9.01) BUF_X32 cap 25.711 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.107 -> buffer (7.35, 9.01) BUF_X1 cap 0.935 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.132 -> buffer (7.35, 9.01) BUF_X2 cap 1.704 req 0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.146 -> buffer (7.35, 9.01) BUF_X4 cap 3.252 req 0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.151 -> buffer (7.35, 9.01) BUF_X8 cap 6.360 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.154 -> buffer (7.35, 9.01) BUF_X16 cap 12.271 req 0.154 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.225 req 0.156 -> buffer (7.35, 9.01) BUF_X32 cap 25.711 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.131 -> buffer (13.05, 3.41) BUF_X1 cap 0.935 req 0.131 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.144 -> buffer (13.05, 3.41) BUF_X2 cap 1.704 req 0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.152 -> buffer (13.05, 3.41) BUF_X4 cap 3.252 req 0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.154 -> buffer (13.05, 3.41) BUF_X8 cap 6.360 req 0.154 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.155 -> buffer (13.05, 3.41) BUF_X16 cap 12.271 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.486 req 0.156 -> buffer (13.05, 3.41) BUF_X32 cap 25.711 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.064 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.082 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.093 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.097 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.098 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.100 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.054 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.077 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.090 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.095 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.097 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.807 req 0.099 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.044 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.044 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.072 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.087 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.094 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.097 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.035 req 0.099 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.088 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.094 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.099 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.100 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.100 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.100 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.035 -> buffer (10.39, 4.99) BUF_X1 cap 0.935 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.067 -> buffer (10.39, 4.99) BUF_X2 cap 1.704 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.085 -> buffer (10.39, 4.99) BUF_X4 cap 3.252 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.093 -> buffer (10.39, 4.99) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.096 -> buffer (10.39, 4.99) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.012 req 0.099 -> buffer (10.39, 4.99) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.025 -> buffer (7.35, 4.99) BUF_X1 cap 0.935 req 0.025 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.062 -> buffer (7.35, 4.99) BUF_X2 cap 1.704 req 0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.082 -> buffer (7.35, 4.99) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.091 -> buffer (7.35, 4.99) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.095 -> buffer (7.35, 4.99) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.175 req 0.098 -> buffer (7.35, 4.99) BUF_X32 cap 25.711 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.015 -> buffer (7.35, 6.22) BUF_X1 cap 0.935 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.057 -> buffer (7.35, 6.22) BUF_X2 cap 1.704 req 0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.080 -> buffer (7.35, 6.22) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.090 -> buffer (7.35, 6.22) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.095 -> buffer (7.35, 6.22) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.376 req 0.098 -> buffer (7.35, 6.22) BUF_X32 cap 25.711 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.004 -> buffer (7.35, 9.01) BUF_X1 cap 0.935 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.052 -> buffer (7.35, 9.01) BUF_X2 cap 1.704 req 0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.077 -> buffer (7.35, 9.01) BUF_X4 cap 3.252 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.088 -> buffer (7.35, 9.01) BUF_X8 cap 6.360 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.094 -> buffer (7.35, 9.01) BUF_X16 cap 12.271 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.696 req 0.097 -> buffer (7.35, 9.01) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.413 req -0.034 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.034 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 16.147 req 0.028 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 16.147 req 0.065 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 16.147 req 0.082 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 16.147 req 0.091 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 16.147 req 0.096 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.168 - 0.086 = 0.082 * 0.00 = 0.082 cap 16.147 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.146 - 0.084 = 0.062 * 0.01 = 0.061 cap 14.174 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req 0.144 - 0.083 = 0.061 * 0.02 = 0.060 cap 13.392 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.132 - 0.081 = 0.051 * 0.02 = 0.050 cap 11.844 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.131 - 0.080 = 0.051 * 0.02 = 0.050 cap 11.075 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.107 - 0.079 = 0.028 * 0.02 = 0.027 cap 10.305 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.090 - 0.078 = 0.012 * 0.02 = 0.012 cap 8.840 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.088 - 0.076 = 0.012 * 0.02 = 0.012 cap 7.521 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.082 - 0.075 = 0.007 * 0.02 = 0.007 cap 6.934 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.080 - 0.074 = 0.006 * 0.02 = 0.006 cap 5.732 +[DEBUG RSZ-rebuffer] option 11: 2 buffers req 0.077 - 0.072 = 0.005 * 0.02 = 0.004 cap 4.413 +[DEBUG RSZ-rebuffer] option 12: 2 buffers req 0.057 - 0.072 = -0.015 * 0.02 = -0.015 cap 4.184 +[DEBUG RSZ-rebuffer] option 13: 2 buffers req 0.052 - 0.071 = -0.019 * 0.02 = -0.019 cap 2.865 +[DEBUG RSZ-rebuffer] option 14: 2 buffers req 0.004 - 0.070 = -0.066 * 0.02 = -0.067 cap 2.095 +[DEBUG RSZ-rebuffer] option 15: 3 buffers req -0.034 - 0.068 = -0.102 * 0.03 = -0.105 cap 0.935 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.028 - 0.069 = -0.041 * 0.01 = -0.041 cap 1.704 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.065 - 0.071 = -0.006 * 0.01 = -0.006 cap 3.252 +[DEBUG RSZ-rebuffer] option 18: 1 buffers req 0.082 - 0.075 = 0.007 * 0.01 = 0.007 cap 6.360 +[DEBUG RSZ-rebuffer] option 19: 1 buffers req 0.091 - 0.082 = 0.009 * 0.01 = 0.009 cap 12.271 +[DEBUG RSZ-rebuffer] option 20: 1 buffers req 0.096 - 0.096 = -0.001 * 0.01 = -0.001 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r9/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r6/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r10/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r11/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r12/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r8/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] split loads split1/Z -> u1/u1/A +[DEBUG RSZ-repair_setup] fanin u1/u1_1/A slack_margin = 0.004 +[DEBUG RSZ-repair_setup] fanin u1/r8/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r5/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/u1_10/A slack_margin = 0.004 +[DEBUG RSZ-repair_setup] fanin u1/r12/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r4/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/u1/A slack_margin = 0.004 +[DEBUG RSZ-repair_setup] fanin u1/r11/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r3/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/r10/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r6/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/r9/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r7/D slack_margin = 0.060 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 16361, 22388 +[DEBUG RSZ-journal] journal make_buffer split4 +[DEBUG ODB-DB_ECO] ECO: create net, name net19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 255 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 256 to net 26 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 256 to modnet 8 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 26 +Factored Connection from split4/Z to u1/r11/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 26 +Factored Connection from split4/Z to u1/r12/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 26 +Factored Connection from split4/Z to u1/r8/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 26 +Factored Connection from split4/Z to u1/r9/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 26 +Factored Connection from split4/Z to u1/r3/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 7 +[DEBUG RSZ-repair_setup] pass 3 slack = -0.359 worst_slack = -0.518 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.039 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.045 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.023 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 8 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 4.99) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r6/D (0.89, 11.82) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.143 -> buffer (8.18, 9.01) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.151 -> buffer (8.18, 9.01) BUF_X2 cap 1.704 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.157 -> buffer (8.18, 9.01) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.158 -> buffer (8.18, 9.01) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.158 -> buffer (8.18, 9.01) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.159 -> buffer (8.18, 9.01) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.144 -> buffer (13.05, 3.41) BUF_X1 cap 0.935 req 0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.152 -> buffer (13.05, 3.41) BUF_X2 cap 1.704 req 0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.157 -> buffer (13.05, 3.41) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.159 -> buffer (13.05, 3.41) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.078 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.091 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.099 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.101 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.102 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.103 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.067 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.085 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.096 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.099 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.101 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.102 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.091 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.097 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.102 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.103 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.103 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.963 req 0.103 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.059 -> buffer (10.39, 4.99) BUF_X1 cap 0.935 req 0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.081 -> buffer (10.39, 4.99) BUF_X2 cap 1.704 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.093 -> buffer (10.39, 4.99) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.098 -> buffer (10.39, 4.99) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.100 -> buffer (10.39, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.584 req 0.102 -> buffer (10.39, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.047 -> buffer (8.18, 9.01) BUF_X1 cap 0.935 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.075 -> buffer (8.18, 9.01) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.090 -> buffer (8.18, 9.01) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.097 -> buffer (8.18, 9.01) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.100 -> buffer (8.18, 9.01) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.987 req 0.102 -> buffer (8.18, 9.01) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.033 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req 0.033 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.068 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.087 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.095 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.099 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.565 req 0.101 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.140 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.150 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.156 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.158 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.158 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.658 req 0.159 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req -0.002 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req 0.004 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req 0.009 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req 0.009 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req 0.009 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.944 req 0.010 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req -0.011 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.011 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req -0.000 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.000 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req 0.007 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req 0.007 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req 0.008 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.008 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req 0.009 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.009 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 1.925 req 0.010 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.010 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 2 buffers req 0.078 - 0.073 = 0.005 * 0.02 = 0.004 cap 5.176 +[DEBUG RSZ-rebuffer] option 2: 3 buffers req 0.075 - 0.073 = 0.002 * 0.03 = 0.002 cap 4.727 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req 0.068 - 0.072 = -0.004 * 0.02 = -0.004 cap 3.628 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.033 - 0.071 = -0.037 * 0.02 = -0.038 cap 2.859 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.000 - 0.070 = -0.071 * 0.03 = -0.073 cap 2.638 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.011 - 0.069 = -0.081 * 0.03 = -0.083 cap 1.869 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net27 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 16361, 22388 +[DEBUG RSZ-journal] journal make_buffer rebuffer5 +[DEBUG RSZ-rebuffer] insert net1 -> rebuffer5 (BUF_X4) -> net27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 259 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 260 to net 27 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 244 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 244 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 260 to modnet 6 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net28 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 16361, 23613 +[DEBUG RSZ-journal] journal make_buffer rebuffer6 +[DEBUG RSZ-rebuffer] insert net1 -> rebuffer6 (BUF_X1) -> net28 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 263 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 264 to net 28 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 244 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 244 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 264 to modnet 6 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r6/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 28 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] rebuffer split1/Z inserted 2 +[DEBUG RSZ-repair_setup] rebuffer split1/Z inserted 2 +[DEBUG RSZ-repair_setup] pass 4 slack = -0.415 worst_slack = -0.518 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.039 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.040 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.027 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.018 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req 0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.024 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.024 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.029 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.030 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.030 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.030 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.009 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.002 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.009 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.011 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.011 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.012 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.012 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req -0.037 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req -0.013 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req 0.001 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req 0.007 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req 0.009 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.223 req 0.011 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.011 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.080 - 0.073 = 0.007 * 0.00 = 0.007 cap 5.223 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.018 - 0.073 = -0.055 * 0.01 = -0.056 cap 5.176 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.002 - 0.073 = -0.071 * 0.01 = -0.072 cap 5.011 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.009 - 0.072 = -0.081 * 0.01 = -0.082 cap 4.241 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.037 - 0.068 = -0.106 * 0.01 = -0.107 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.013 - 0.069 = -0.082 * 0.01 = -0.083 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.001 - 0.071 = -0.070 * 0.01 = -0.071 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.007 - 0.075 = -0.068 * 0.01 = -0.069 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.009 - 0.082 = -0.072 * 0.01 = -0.073 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.011 - 0.096 = -0.085 * 0.01 = -0.086 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.145 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.138 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.133 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.133 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.132 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.338 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.338 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.324 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.315 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.312 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.326 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.326 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.316 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.313 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.345 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.345 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.318 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.314 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.319 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.314 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.352 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.352 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.332 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.320 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.315 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.145 -> buffer (6.67, 11.13) BUF_X1 cap 0.935 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.138 -> buffer (6.67, 11.13) BUF_X2 cap 1.704 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.134 -> buffer (6.67, 11.13) BUF_X4 cap 3.252 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.133 -> buffer (6.67, 11.13) BUF_X8 cap 6.360 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.133 -> buffer (6.67, 11.13) BUF_X16 cap 12.271 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.132 -> buffer (6.67, 11.13) BUF_X32 cap 25.711 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.201 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.167 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.167 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.148 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.148 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.140 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.137 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.394 req -0.134 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.316 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.316 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.313 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.313 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req -0.235 - 0.316 = -0.551 * 0.01 = -0.556 cap 9.294 +[DEBUG RSZ-rebuffer] option 2: 2 buffers req -0.235 - 0.316 = -0.551 * 0.02 = -0.562 cap 9.237 +[DEBUG RSZ-rebuffer] option 3: 3 buffers req -0.320 - 0.308 = -0.628 * 0.03 = -0.647 cap 4.189 +[DEBUG RSZ-rebuffer] option 4: 3 buffers req -0.332 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.641 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.352 - 0.303 = -0.655 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.675 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.334 - 0.303 = -0.636 * 0.03 = -0.656 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.321 - 0.306 = -0.627 * 0.03 = -0.646 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.316 - 0.311 = -0.627 * 0.03 = -0.646 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.313 - 0.321 = -0.634 * 0.03 = -0.653 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.311 - 0.340 = -0.650 * 0.03 = -0.670 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG ODB-DB_ECO] ECO: create net, name net29 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 13170, 21035 +[DEBUG RSZ-journal] journal make_buffer rebuffer7 +[DEBUG RSZ-rebuffer] insert r1q -> rebuffer7 (BUF_X1) -> net29 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 267 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 268 to net 29 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load split1/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 243 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 243 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 243 to net 29 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 251 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 251 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 251 to net 29 +[DEBUG RSZ-rebuffer] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] pass 5 slack = -0.521 worst_slack = -0.623 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.020 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.092 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.027 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X1 fanout = 2 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer7/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer7 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 6 slack = -0.481 worst_slack = -0.582 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.022 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.061 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.028 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.027 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X2 fanout = 2 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer7/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer7 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 7 slack = -0.461 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.020 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.027 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.099 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.070 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.053 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.047 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.043 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.041 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.284 req -0.163 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.163 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.284 req -0.142 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.142 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.053 - 0.077 = -0.130 * 0.01 = -0.132 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.070 - 0.073 = -0.143 * 0.01 = -0.144 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.099 - 0.071 = -0.171 * 0.01 = -0.172 cap 1.966 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.071 = -0.195 * 0.02 = -0.199 cap 1.925 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.163 - 0.069 = -0.232 * 0.02 = -0.237 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.142 - 0.071 = -0.213 * 0.02 = -0.217 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X4 fanout = 6 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer5/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer5 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 8 slack = -0.454 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.020 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.021 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.104 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.075 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.059 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.052 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.049 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.046 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.284 req -0.168 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.059 - 0.077 = -0.135 * 0.01 = -0.137 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.075 - 0.073 = -0.148 * 0.01 = -0.150 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.104 - 0.071 = -0.176 * 0.01 = -0.177 cap 1.966 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.071 = -0.195 * 0.02 = -0.199 cap 1.925 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.168 - 0.069 = -0.237 * 0.02 = -0.242 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.017 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req 0.017 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.023 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.023 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.028 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.029 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.029 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.030 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.010 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.001 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.008 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.010 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.010 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.011 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.065 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.027 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.007 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.002 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.007 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.009 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.079 - 0.077 = 0.002 * 0.00 = 0.002 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.017 - 0.077 = -0.060 * 0.01 = -0.061 cap 8.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.001 - 0.077 = -0.076 * 0.01 = -0.076 cap 8.118 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.010 - 0.076 = -0.086 * 0.01 = -0.086 cap 7.349 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.065 - 0.068 = -0.133 * 0.01 = -0.134 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.027 - 0.069 = -0.096 * 0.01 = -0.097 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.007 - 0.071 = -0.078 * 0.01 = -0.079 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.002 - 0.075 = -0.072 * 0.01 = -0.073 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.007 - 0.082 = -0.075 * 0.01 = -0.076 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.009 - 0.096 = -0.087 * 0.01 = -0.088 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 9 slack = -0.453 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.020 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.020 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.104 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.075 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.059 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.052 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.049 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.046 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.284 req -0.168 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.059 - 0.077 = -0.135 * 0.01 = -0.137 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.075 - 0.073 = -0.148 * 0.01 = -0.150 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.104 - 0.071 = -0.176 * 0.01 = -0.177 cap 1.966 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.071 = -0.195 * 0.02 = -0.199 cap 1.925 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.168 - 0.069 = -0.237 * 0.02 = -0.242 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.008 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.014 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.014 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.019 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.019 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.019 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.019 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.020 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.020 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.010 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.001 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.008 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.010 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.010 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.011 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.065 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.027 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.007 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.002 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req 0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.007 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req 0.009 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.079 - 0.077 = 0.002 * 0.00 = 0.002 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.008 - 0.077 = -0.069 * 0.01 = -0.070 cap 8.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.001 - 0.077 = -0.076 * 0.01 = -0.076 cap 8.118 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.010 - 0.076 = -0.086 * 0.01 = -0.086 cap 7.349 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.065 - 0.068 = -0.133 * 0.01 = -0.134 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.027 - 0.069 = -0.096 * 0.01 = -0.097 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.007 - 0.071 = -0.078 * 0.01 = -0.079 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.002 - 0.075 = -0.072 * 0.01 = -0.073 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.007 - 0.082 = -0.075 * 0.01 = -0.076 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.009 - 0.096 = -0.087 * 0.01 = -0.088 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 10 slack = -0.447 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] u1/r2_1/D slack = -0.457 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] Doing 3 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.022 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.022 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.114 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.085 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.069 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.062 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.058 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.056 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.284 req -0.178 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.178 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.069 - 0.077 = -0.145 * 0.01 = -0.147 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.085 - 0.073 = -0.158 * 0.01 = -0.160 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.114 - 0.071 = -0.186 * 0.01 = -0.187 cap 1.966 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.071 = -0.195 * 0.02 = -0.199 cap 1.925 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.178 - 0.069 = -0.247 * 0.02 = -0.252 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.011 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.005 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.005 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.000 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.000 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.001 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.001 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req 0.002 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.020 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.009 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.002 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.000 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.000 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.000 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.000 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req 0.001 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.075 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.037 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.017 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.017 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.008 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.003 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.001 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.001 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.069 - 0.077 = -0.008 * 0.00 = -0.008 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.009 - 0.077 = -0.086 * 0.01 = -0.086 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.020 - 0.076 = -0.096 * 0.01 = -0.097 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.075 - 0.068 = -0.143 * 0.01 = -0.145 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.037 - 0.069 = -0.106 * 0.01 = -0.107 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.017 - 0.071 = -0.088 * 0.01 = -0.089 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.008 - 0.075 = -0.082 * 0.01 = -0.083 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.003 - 0.082 = -0.085 * 0.01 = -0.086 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.001 - 0.096 = -0.097 * 0.01 = -0.098 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1_1/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_1 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.456 worst_slack = -0.562 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.023 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.021 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.123 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.094 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.078 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.071 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.068 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.066 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.180 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.180 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.078 - 0.077 = -0.155 * 0.01 = -0.156 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.094 - 0.073 = -0.167 * 0.01 = -0.169 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.123 - 0.071 = -0.195 * 0.01 = -0.197 cap 1.966 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.071 = -0.195 * 0.02 = -0.199 cap 1.925 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.180 - 0.069 = -0.249 * 0.01 = -0.251 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.020 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.014 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.014 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.009 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.008 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.008 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.008 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.029 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.018 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.011 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.010 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.009 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.008 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.084 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.046 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.026 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.017 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.017 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.013 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.010 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.010 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.060 - 0.077 = -0.017 * 0.00 = -0.017 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.018 - 0.077 = -0.095 * 0.01 = -0.096 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.029 - 0.076 = -0.105 * 0.01 = -0.106 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.084 - 0.068 = -0.152 * 0.01 = -0.154 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.046 - 0.069 = -0.116 * 0.01 = -0.117 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.026 - 0.071 = -0.097 * 0.01 = -0.098 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.017 - 0.075 = -0.092 * 0.01 = -0.093 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.013 - 0.082 = -0.094 * 0.01 = -0.095 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.010 - 0.096 = -0.106 * 0.01 = -0.107 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.98, 4.99) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.142 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.151 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.159 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.144 -> buffer (13.05, 3.41) BUF_X1 cap 0.935 req 0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.152 -> buffer (13.05, 3.41) BUF_X2 cap 1.704 req 0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.157 -> buffer (13.05, 3.41) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.159 -> buffer (13.05, 3.41) BUF_X16 cap 12.271 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.159 -> buffer (13.05, 3.41) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.078 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.091 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.099 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.101 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.102 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.103 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.068 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.085 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.096 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.100 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.101 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.103 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.086 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.096 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.103 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.104 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.105 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.734 req 0.106 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.053 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.078 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.092 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.098 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.100 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.355 req 0.102 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.022 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.022 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.062 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.084 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.094 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.098 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.953 req 0.101 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.007 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.055 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.080 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.091 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.097 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.704 req 0.101 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.171 - 0.080 = 0.091 * 0.00 = 0.091 cap 10.704 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.144 - 0.079 = 0.065 * 0.01 = 0.064 cap 10.379 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.142 - 0.079 = 0.063 * 0.01 = 0.062 cap 10.102 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.142 - 0.079 = 0.063 * 0.02 = 0.062 cap 9.777 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.096 - 0.079 = 0.018 * 0.03 = 0.017 cap 9.748 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.094 - 0.076 = 0.017 * 0.02 = 0.017 cap 7.509 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.084 - 0.072 = 0.011 * 0.02 = 0.011 cap 4.401 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.062 - 0.071 = -0.008 * 0.02 = -0.009 cap 2.853 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.022 - 0.070 = -0.048 * 0.02 = -0.049 cap 2.084 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.007 - 0.068 = -0.061 * 0.01 = -0.062 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.055 - 0.069 = -0.014 * 0.01 = -0.015 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.009 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.091 - 0.075 = 0.017 * 0.01 = 0.017 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.097 - 0.082 = 0.016 * 0.01 = 0.015 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.101 - 0.096 = 0.004 * 0.01 = 0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1_1/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_1 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.452 worst_slack = -0.562 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.025 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.017 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.142 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.113 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.096 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.090 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.086 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.084 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.180 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.180 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.096 - 0.077 = -0.173 * 0.01 = -0.175 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.113 - 0.073 = -0.186 * 0.01 = -0.188 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.073 = -0.197 * 0.02 = -0.201 cap 2.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.142 - 0.071 = -0.214 * 0.01 = -0.216 cap 1.966 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.142 - 0.071 = -0.213 * 0.02 = -0.218 cap 1.925 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.180 - 0.069 = -0.249 * 0.01 = -0.251 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.159 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.144 -> buffer (13.05, 3.41) BUF_X1 cap 0.935 req 0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.151 -> buffer (13.05, 3.41) BUF_X2 cap 1.704 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.157 -> buffer (13.05, 3.41) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.159 -> buffer (13.05, 3.41) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.077 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.090 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.098 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.100 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.101 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.102 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.067 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.085 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.095 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.099 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.100 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.102 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.080 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.096 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.106 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.109 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.112 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.039 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.070 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.088 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.095 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.099 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.101 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.008 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.055 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.080 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.091 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.096 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.100 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req -0.007 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.047 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.076 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.089 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.095 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.099 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.170 - 0.082 = 0.089 * 0.00 = 0.089 cap 12.250 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.144 - 0.081 = 0.062 * 0.01 = 0.062 cap 11.925 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.141 - 0.081 = 0.060 * 0.01 = 0.060 cap 11.648 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.141 - 0.080 = 0.061 * 0.02 = 0.059 cap 11.323 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.106 - 0.080 = 0.026 * 0.03 = 0.025 cap 11.296 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req 0.096 - 0.079 = 0.018 * 0.03 = 0.017 cap 9.748 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req 0.095 - 0.079 = 0.017 * 0.03 = 0.016 cap 9.718 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.091 - 0.076 = 0.015 * 0.02 = 0.015 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.080 - 0.072 = 0.007 * 0.02 = 0.007 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.055 - 0.071 = -0.016 * 0.02 = -0.016 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 2 buffers req 0.008 - 0.070 = -0.062 * 0.02 = -0.063 cap 2.084 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.007 - 0.068 = -0.075 * 0.01 = -0.076 cap 0.935 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.047 - 0.069 = -0.022 * 0.01 = -0.022 cap 1.704 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.076 - 0.071 = 0.005 * 0.01 = 0.005 cap 3.252 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.089 - 0.075 = 0.014 * 0.01 = 0.014 cap 6.360 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.082 = 0.014 * 0.01 = 0.014 cap 12.271 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.099 - 0.096 = 0.003 * 0.01 = 0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.039 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.033 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.033 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.028 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.027 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.027 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.026 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.048 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.048 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.037 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.030 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.028 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.028 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.027 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.103 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.065 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.045 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.036 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.031 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.029 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.029 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.041 - 0.077 = -0.036 * 0.00 = -0.036 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.037 - 0.077 = -0.114 * 0.01 = -0.115 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.048 - 0.076 = -0.124 * 0.01 = -0.125 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.103 - 0.068 = -0.171 * 0.01 = -0.173 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.065 - 0.069 = -0.134 * 0.01 = -0.136 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.045 - 0.071 = -0.116 * 0.01 = -0.117 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.036 - 0.075 = -0.110 * 0.01 = -0.112 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.031 - 0.082 = -0.113 * 0.01 = -0.114 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.029 - 0.096 = -0.125 * 0.01 = -0.126 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X4 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.452 worst slack -0.562 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_1/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_10/D slack = -0.462 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] Doing 4 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.025 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.023 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.142 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.113 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.096 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.090 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.086 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.084 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.180 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.180 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.096 - 0.077 = -0.173 * 0.01 = -0.175 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.113 - 0.073 = -0.186 * 0.01 = -0.188 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.073 = -0.197 * 0.02 = -0.201 cap 2.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.142 - 0.071 = -0.214 * 0.01 = -0.216 cap 1.966 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.142 - 0.071 = -0.213 * 0.02 = -0.218 cap 1.925 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.180 - 0.069 = -0.249 * 0.01 = -0.251 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.159 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.144 -> buffer (13.05, 3.41) BUF_X1 cap 0.935 req 0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.151 -> buffer (13.05, 3.41) BUF_X2 cap 1.704 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.157 -> buffer (13.05, 3.41) BUF_X4 cap 3.252 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X8 cap 6.360 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.158 -> buffer (13.05, 3.41) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.259 req 0.159 -> buffer (13.05, 3.41) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.077 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.090 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.098 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.100 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.101 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.379 req 0.102 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.067 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.085 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.095 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.099 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.100 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.606 req 0.102 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.080 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.096 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.106 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.109 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.112 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.039 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.070 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.088 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.095 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.099 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.901 req 0.101 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.008 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.055 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.080 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.091 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.096 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.498 req 0.100 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req -0.007 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.047 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.076 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.089 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.095 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.250 req 0.099 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.170 - 0.082 = 0.089 * 0.00 = 0.089 cap 12.250 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.144 - 0.081 = 0.062 * 0.01 = 0.062 cap 11.925 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.141 - 0.081 = 0.060 * 0.01 = 0.060 cap 11.648 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.141 - 0.080 = 0.061 * 0.02 = 0.059 cap 11.323 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.106 - 0.080 = 0.026 * 0.03 = 0.025 cap 11.296 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req 0.096 - 0.079 = 0.018 * 0.03 = 0.017 cap 9.748 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req 0.095 - 0.079 = 0.017 * 0.03 = 0.016 cap 9.718 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.091 - 0.076 = 0.015 * 0.02 = 0.015 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.080 - 0.072 = 0.007 * 0.02 = 0.007 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.055 - 0.071 = -0.016 * 0.02 = -0.016 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 2 buffers req 0.008 - 0.070 = -0.062 * 0.02 = -0.063 cap 2.084 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.007 - 0.068 = -0.075 * 0.01 = -0.076 cap 0.935 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.047 - 0.069 = -0.022 * 0.01 = -0.022 cap 1.704 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.076 - 0.071 = 0.005 * 0.01 = 0.005 cap 3.252 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.089 - 0.075 = 0.014 * 0.01 = 0.014 cap 6.360 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.082 = 0.014 * 0.01 = 0.014 cap 12.271 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.099 - 0.096 = 0.003 * 0.01 = 0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.039 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.033 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.033 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.028 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.027 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.027 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.026 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.048 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.048 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.037 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.030 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.028 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.028 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.027 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.027 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.103 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.065 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.045 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.036 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.031 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.029 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.029 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.041 - 0.077 = -0.036 * 0.00 = -0.036 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.037 - 0.077 = -0.114 * 0.01 = -0.115 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.048 - 0.076 = -0.124 * 0.01 = -0.125 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.103 - 0.068 = -0.171 * 0.01 = -0.173 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.065 - 0.069 = -0.134 * 0.01 = -0.136 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.045 - 0.071 = -0.116 * 0.01 = -0.117 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.036 - 0.075 = -0.110 * 0.01 = -0.112 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.031 - 0.082 = -0.113 * 0.01 = -0.114 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.029 - 0.096 = -0.125 * 0.01 = -0.126 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1_10/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_10 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.461 worst_slack = -0.562 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.023 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.151 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.122 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.106 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.099 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.096 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.094 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.180 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.180 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.106 - 0.077 = -0.183 * 0.01 = -0.184 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.122 - 0.073 = -0.195 * 0.01 = -0.197 cap 2.736 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.073 = -0.197 * 0.02 = -0.201 cap 2.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.151 - 0.071 = -0.223 * 0.01 = -0.225 cap 1.966 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.151 - 0.071 = -0.223 * 0.02 = -0.227 cap 1.925 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.180 - 0.069 = -0.249 * 0.01 = -0.251 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.06, 3.41) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.143 -> buffer (13.06, 3.41) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.151 -> buffer (13.06, 3.41) BUF_X2 cap 1.704 req 0.151 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.156 -> buffer (13.06, 3.41) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.157 -> buffer (13.06, 3.41) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.158 -> buffer (13.06, 3.41) BUF_X16 cap 12.271 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.257 req 0.158 -> buffer (13.06, 3.41) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.072 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.088 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.098 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.101 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.102 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.148 req 0.104 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.062 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.083 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.095 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.100 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.102 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.376 req 0.103 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.079 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.096 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.106 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.109 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.110 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.112 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.034 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.034 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.068 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.087 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.096 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.100 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.670 req 0.102 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.003 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.053 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.080 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.092 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.098 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.268 req 0.101 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req -0.012 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.012 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req 0.046 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req 0.076 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req 0.089 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req 0.096 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.019 req 0.101 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.172 - 0.082 = 0.089 * 0.00 = 0.089 cap 13.019 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.143 - 0.082 = 0.061 * 0.01 = 0.061 cap 12.696 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.141 - 0.082 = 0.059 * 0.01 = 0.058 cap 12.417 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.141 - 0.081 = 0.059 * 0.02 = 0.058 cap 12.094 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.106 - 0.081 = 0.024 * 0.03 = 0.024 cap 12.067 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req 0.095 - 0.079 = 0.016 * 0.03 = 0.016 cap 9.718 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.092 - 0.076 = 0.015 * 0.02 = 0.015 cap 7.509 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.080 - 0.072 = 0.007 * 0.02 = 0.007 cap 4.401 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.053 - 0.071 = -0.018 * 0.02 = -0.018 cap 2.853 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.003 - 0.070 = -0.067 * 0.02 = -0.068 cap 2.084 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.012 - 0.068 = -0.080 * 0.01 = -0.081 cap 0.935 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.046 - 0.069 = -0.024 * 0.01 = -0.024 cap 1.704 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.076 - 0.071 = 0.005 * 0.01 = 0.005 cap 3.252 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.089 - 0.075 = 0.015 * 0.01 = 0.015 cap 6.360 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.096 - 0.082 = 0.015 * 0.01 = 0.015 cap 12.271 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.101 - 0.096 = 0.004 * 0.01 = 0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.048 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.048 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.042 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.037 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.036 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.036 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.036 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.057 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.046 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.039 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.038 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.037 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.037 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.036 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.112 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.074 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.054 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.045 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.041 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.038 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.038 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.032 - 0.077 = -0.045 * 0.00 = -0.045 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.046 - 0.077 = -0.123 * 0.01 = -0.124 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.057 - 0.076 = -0.133 * 0.01 = -0.134 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.112 - 0.068 = -0.180 * 0.01 = -0.182 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.074 - 0.069 = -0.144 * 0.01 = -0.145 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.054 - 0.071 = -0.125 * 0.01 = -0.126 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.045 - 0.075 = -0.120 * 0.01 = -0.121 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.041 - 0.082 = -0.122 * 0.01 = -0.123 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.038 - 0.096 = -0.134 * 0.01 = -0.136 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u1_10/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_10 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.456 worst_slack = -0.562 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.028 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.019 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.168 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.138 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.122 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.115 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.110 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.124 -> buffer (6.68, 11.19) BUF_X1 cap 0.935 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.117 -> buffer (6.68, 11.19) BUF_X2 cap 1.704 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.113 -> buffer (6.68, 11.19) BUF_X4 cap 3.252 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X8 cap 6.360 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.112 -> buffer (6.68, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req -0.111 -> buffer (6.68, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.180 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.180 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.146 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.397 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.084 = -0.127 * 0.00 = -0.127 cap 7.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.122 - 0.077 = -0.199 * 0.01 = -0.201 cap 4.284 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.242 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.138 - 0.073 = -0.211 * 0.01 = -0.213 cap 2.736 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.138 - 0.073 = -0.211 * 0.02 = -0.215 cap 2.694 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.168 - 0.071 = -0.239 * 0.01 = -0.241 cap 1.966 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.168 - 0.071 = -0.239 * 0.02 = -0.244 cap 1.925 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.180 - 0.069 = -0.249 * 0.01 = -0.251 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.146 - 0.071 = -0.217 * 0.01 = -0.219 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.127 - 0.074 = -0.202 * 0.01 = -0.204 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.119 - 0.082 = -0.201 * 0.01 = -0.203 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.210 * 0.01 = -0.212 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.237 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.155 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.143 -> buffer (13.03, 3.41) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.150 -> buffer (13.03, 3.41) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.156 -> buffer (13.03, 3.41) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.158 -> buffer (13.03, 3.41) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.066 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.089 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.101 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.106 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.109 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.110 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.056 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.083 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.099 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.105 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.108 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.110 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.079 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.095 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.105 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.108 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.110 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.028 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.069 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.091 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.101 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.106 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.109 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req -0.003 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.054 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.083 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.097 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.104 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.108 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req -0.018 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.046 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.080 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.095 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.103 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.107 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.179 - 0.084 = 0.095 * 0.00 = 0.095 cap 14.567 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.143 - 0.084 = 0.059 * 0.01 = 0.058 cap 14.242 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.140 - 0.084 = 0.057 * 0.01 = 0.056 cap 13.965 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.140 - 0.083 = 0.057 * 0.02 = 0.056 cap 13.640 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.105 - 0.083 = 0.022 * 0.03 = 0.021 cap 13.612 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.104 - 0.083 = 0.021 * 0.02 = 0.021 cap 13.420 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.101 - 0.080 = 0.021 * 0.02 = 0.020 cap 11.106 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.097 - 0.076 = 0.021 * 0.02 = 0.020 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.083 - 0.072 = 0.011 * 0.02 = 0.011 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.054 - 0.071 = -0.017 * 0.02 = -0.017 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.003 - 0.070 = -0.073 * 0.01 = -0.074 cap 2.686 +[DEBUG RSZ-rebuffer] option 12: 2 buffers req -0.003 - 0.070 = -0.073 * 0.02 = -0.074 cap 2.084 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.018 - 0.068 = -0.086 * 0.01 = -0.087 cap 0.935 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.046 - 0.069 = -0.023 * 0.01 = -0.023 cap 1.704 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.008 cap 3.252 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.075 = 0.020 * 0.01 = 0.020 cap 6.360 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.103 - 0.082 = 0.021 * 0.01 = 0.021 cap 12.271 +[DEBUG RSZ-rebuffer] option 18: 1 buffers req 0.107 - 0.096 = 0.011 * 0.01 = 0.011 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.140 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.134 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.129 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.128 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.991 req -0.127 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.192 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.192 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.666 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.294 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.065 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.058 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.052 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.073 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.062 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.055 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.054 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.053 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.052 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.128 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.090 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.070 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.061 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.057 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.054 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.016 - 0.077 = -0.061 * 0.00 = -0.061 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.062 - 0.077 = -0.139 * 0.01 = -0.140 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.073 - 0.076 = -0.149 * 0.01 = -0.150 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.128 - 0.068 = -0.196 * 0.01 = -0.198 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.090 - 0.069 = -0.160 * 0.01 = -0.161 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.070 - 0.071 = -0.141 * 0.01 = -0.143 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.061 - 0.075 = -0.136 * 0.01 = -0.137 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.057 - 0.082 = -0.138 * 0.01 = -0.140 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.054 - 0.096 = -0.150 * 0.01 = -0.152 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X4 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.456 worst slack -0.562 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_10/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_11/D slack = -0.499 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] Doing 5 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.156 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.062 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X1 fanout = 3 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.420 worst_slack = -0.565 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.094 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.044 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X2 fanout = 3 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.382 worst_slack = -0.571 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.064 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X4 fanout = 3 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.373 worst_slack = -0.585 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.043 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.052 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.088 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.095 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.099 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.100 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.100 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.072 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.087 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.095 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.098 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.099 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.100 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.062 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.081 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.093 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.097 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.098 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.100 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.169 - 0.072 = 0.097 * 0.00 = 0.097 cap 3.922 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.088 - 0.072 = 0.016 * 0.01 = 0.016 cap 3.879 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.088 - 0.072 = 0.016 * 0.01 = 0.016 cap 3.871 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.088 - 0.072 = 0.016 * 0.02 = 0.016 cap 3.829 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.087 - 0.071 = 0.016 * 0.01 = 0.016 cap 2.858 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.087 - 0.071 = 0.016 * 0.02 = 0.016 cap 2.808 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.072 - 0.070 = 0.003 * 0.02 = 0.003 cap 2.038 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.062 - 0.068 = -0.006 * 0.01 = -0.006 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.081 - 0.069 = 0.012 * 0.01 = 0.012 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.093 - 0.071 = 0.022 * 0.01 = 0.021 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.097 - 0.075 = 0.022 * 0.01 = 0.022 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.098 - 0.082 = 0.017 * 0.01 = 0.017 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.100 - 0.096 = 0.004 * 0.01 = 0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.98, 8.40) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 6.415 req -0.044 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.044 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.415 req -0.014 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.014 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.415 req 0.002 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req 0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.415 req 0.009 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.415 req 0.012 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req 0.012 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.415 req 0.015 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.338 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.338 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.325 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.315 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.312 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.342 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.342 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.327 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.317 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.313 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.346 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.329 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.318 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.314 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.349 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.349 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.331 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.320 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.315 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.352 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.352 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.333 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.321 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.316 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.229 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.229 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.213 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.213 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.203 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.203 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.198 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.322 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.322 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.316 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.316 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req -0.235 - 0.320 = -0.555 * 0.01 = -0.560 cap 11.609 +[DEBUG RSZ-rebuffer] option 2: 2 buffers req -0.235 - 0.316 = -0.551 * 0.02 = -0.562 cap 9.237 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.321 - 0.312 = -0.633 * 0.02 = -0.645 cap 6.561 +[DEBUG RSZ-rebuffer] option 4: 3 buffers req -0.321 - 0.308 = -0.629 * 0.03 = -0.647 cap 4.189 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.333 - 0.305 = -0.637 * 0.03 = -0.657 cap 2.641 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.352 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.871 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.334 - 0.303 = -0.637 * 0.03 = -0.656 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.322 - 0.306 = -0.628 * 0.03 = -0.647 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.316 - 0.311 = -0.628 * 0.03 = -0.646 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.321 = -0.634 * 0.03 = -0.653 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.340 = -0.651 * 0.03 = -0.670 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG ODB-DB_ECO] ECO: create net, name net36 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 17710, 15575 +[DEBUG RSZ-journal] journal make_buffer rebuffer8 +[DEBUG RSZ-rebuffer] insert r1q -> rebuffer8 (BUF_X1) -> net36 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 271 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 272 to net 30 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 247 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 247 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 247 to net 30 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] rebuffer r1/Q inserted 1 +[DEBUG RSZ-repair_setup] pass 4 slack = -0.471 worst_slack = -0.562 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.046 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.435 worst_slack = -0.565 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.037 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 6 slack = -0.419 worst_slack = -0.571 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.073 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.057 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.047 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.043 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.042 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.041 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.226 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.226 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.209 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.209 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.194 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.194 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.089 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.089 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.095 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.100 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.073 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.087 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.096 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.098 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.100 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.063 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.082 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.093 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.097 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.099 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.100 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.169 - 0.072 = 0.097 * 0.00 = 0.097 cap 3.922 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.089 - 0.072 = 0.017 * 0.01 = 0.017 cap 3.879 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.089 - 0.072 = 0.017 * 0.01 = 0.017 cap 3.871 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.089 - 0.072 = 0.017 * 0.02 = 0.016 cap 3.829 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.087 - 0.071 = 0.016 * 0.01 = 0.016 cap 2.858 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.087 - 0.071 = 0.016 * 0.02 = 0.016 cap 2.808 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.073 - 0.070 = 0.003 * 0.02 = 0.003 cap 2.038 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.063 - 0.068 = -0.006 * 0.01 = -0.006 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.082 - 0.069 = 0.012 * 0.01 = 0.012 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.093 - 0.071 = 0.022 * 0.01 = 0.022 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.097 - 0.075 = 0.022 * 0.01 = 0.022 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.099 - 0.082 = 0.017 * 0.01 = 0.017 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.100 - 0.096 = 0.004 * 0.01 = 0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_11/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_11 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 7 slack = -0.419 worst_slack = -0.579 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.031 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.075 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.058 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.048 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.048 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.045 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.043 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.042 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.234 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.234 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.217 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.217 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.207 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.207 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.204 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.204 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.202 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.202 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.30, 0.61) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.088 -> buffer (16.30, 0.61) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.095 -> buffer (16.30, 0.61) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.099 -> buffer (16.30, 0.61) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.100 -> buffer (16.30, 0.61) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.100 -> buffer (16.30, 0.61) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.976 req 0.101 -> buffer (16.30, 0.61) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.066 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.083 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.093 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.097 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.099 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.537 req 0.100 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.056 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.078 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.091 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.096 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.098 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.691 req 0.100 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.169 - 0.073 = 0.096 * 0.00 = 0.096 cap 4.691 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.093 - 0.072 = 0.021 * 0.01 = 0.021 cap 4.406 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req 0.088 - 0.072 = 0.016 * 0.02 = 0.015 cap 4.356 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.083 - 0.071 = 0.012 * 0.01 = 0.012 cap 2.858 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.083 - 0.071 = 0.012 * 0.02 = 0.012 cap 2.808 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.066 - 0.070 = -0.004 * 0.02 = -0.004 cap 2.038 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.056 - 0.068 = -0.013 * 0.01 = -0.013 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.078 - 0.069 = 0.009 * 0.01 = 0.009 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.091 - 0.071 = 0.020 * 0.01 = 0.020 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.096 - 0.075 = 0.021 * 0.01 = 0.021 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.098 - 0.082 = 0.016 * 0.01 = 0.016 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.100 - 0.096 = 0.003 * 0.01 = 0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_11/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_11 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 8 slack = -0.413 worst_slack = -0.595 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.033 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.020 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.077 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.061 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.051 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.051 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.047 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.046 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.045 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.250 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.250 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.233 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.233 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.220 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.220 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.218 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.218 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.217 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.217 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.356 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.356 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.317 = -0.551 * 0.02 = -0.562 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.356 - 0.301 = -0.657 * 0.03 = -0.677 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.637 * 0.03 = -0.656 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.627 * 0.03 = -0.646 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.627 * 0.03 = -0.646 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.26, 0.61) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.087 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.094 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.087 -> buffer (16.26, 0.61) BUF_X1 cap 0.935 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.094 -> buffer (16.26, 0.61) BUF_X2 cap 1.704 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.099 -> buffer (16.26, 0.61) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.099 -> buffer (16.26, 0.61) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.099 -> buffer (16.26, 0.61) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.978 req 0.100 -> buffer (16.26, 0.61) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.051 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.051 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.075 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.089 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.094 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.097 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.085 req 0.099 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.042 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.070 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.086 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.093 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.096 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.240 req 0.098 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.168 - 0.075 = 0.093 * 0.00 = 0.093 cap 6.240 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.089 - 0.072 = 0.017 * 0.01 = 0.016 cap 4.406 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req 0.087 - 0.072 = 0.015 * 0.02 = 0.015 cap 4.356 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.075 - 0.071 = 0.005 * 0.02 = 0.005 cap 2.808 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.051 - 0.070 = -0.018 * 0.01 = -0.019 cap 2.089 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.051 - 0.070 = -0.018 * 0.02 = -0.019 cap 2.038 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.042 - 0.068 = -0.027 * 0.01 = -0.027 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.070 - 0.069 = 0.001 * 0.01 = 0.001 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.086 - 0.071 = 0.015 * 0.01 = 0.015 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.093 - 0.075 = 0.018 * 0.01 = 0.018 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.096 - 0.082 = 0.015 * 0.01 = 0.014 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.098 - 0.096 = 0.002 * 0.01 = 0.002 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] No change after 4 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.471 worst slack -0.562 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 2 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_11/D no changes after 4 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_12/D slack = -0.471 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] Doing 6 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.046 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.435 worst_slack = -0.565 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.037 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.419 worst_slack = -0.571 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.086 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.070 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.060 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.056 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.055 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.054 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.226 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.226 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.209 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.209 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.194 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.194 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.193 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.193 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.089 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.089 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.095 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.100 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.060 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.074 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.083 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.086 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.087 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.768 req 0.088 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.050 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.069 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.080 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.084 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.086 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.922 req 0.087 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.156 - 0.072 = 0.084 * 0.00 = 0.084 cap 3.922 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.089 - 0.072 = 0.017 * 0.01 = 0.017 cap 3.879 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.089 - 0.072 = 0.017 * 0.01 = 0.017 cap 3.871 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.089 - 0.072 = 0.017 * 0.02 = 0.016 cap 3.829 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.074 - 0.071 = 0.003 * 0.02 = 0.003 cap 2.808 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.060 - 0.070 = -0.010 * 0.01 = -0.010 cap 2.089 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.060 - 0.070 = -0.010 * 0.02 = -0.010 cap 2.038 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.050 - 0.068 = -0.019 * 0.01 = -0.019 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.069 - 0.069 = -0.001 * 0.01 = -0.001 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.009 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.084 - 0.075 = 0.009 * 0.01 = 0.009 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.086 - 0.082 = 0.004 * 0.01 = 0.004 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.087 - 0.096 = -0.009 * 0.01 = -0.009 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_12/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_12 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.422 worst_slack = -0.579 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.031 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.088 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.071 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.061 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.058 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.056 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.055 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.234 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.234 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.217 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.217 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.207 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.207 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.204 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.204 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.202 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.202 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.86, 0.61) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.101 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.079 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.089 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.096 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.097 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.098 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.748 req 0.099 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.053 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.070 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.081 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.084 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.086 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.538 req 0.087 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.043 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.065 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.078 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.083 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.085 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.693 req 0.087 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.156 - 0.073 = 0.083 * 0.00 = 0.083 cap 4.693 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.089 - 0.073 = 0.017 * 0.01 = 0.016 cap 4.649 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.088 - 0.073 = 0.015 * 0.01 = 0.015 cap 4.642 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.088 - 0.073 = 0.015 * 0.02 = 0.015 cap 4.598 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.081 - 0.072 = 0.008 * 0.01 = 0.008 cap 4.406 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.081 - 0.072 = 0.008 * 0.02 = 0.008 cap 4.356 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.079 - 0.072 = 0.007 * 0.01 = 0.007 cap 3.879 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.079 - 0.072 = 0.007 * 0.02 = 0.007 cap 3.829 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.070 - 0.071 = -0.000 * 0.02 = -0.000 cap 2.808 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.053 - 0.070 = -0.017 * 0.01 = -0.017 cap 2.089 +[DEBUG RSZ-rebuffer] option 11: 2 buffers req 0.053 - 0.070 = -0.017 * 0.02 = -0.017 cap 2.038 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.043 - 0.068 = -0.026 * 0.01 = -0.026 cap 0.935 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.065 - 0.069 = -0.004 * 0.01 = -0.004 cap 1.704 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.078 - 0.071 = 0.007 * 0.01 = 0.007 cap 3.252 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.083 - 0.075 = 0.008 * 0.01 = 0.008 cap 6.360 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.085 - 0.082 = 0.003 * 0.01 = 0.003 cap 12.271 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.087 - 0.096 = -0.010 * 0.01 = -0.010 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_12/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_12 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.414 worst_slack = -0.595 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.033 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.021 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.090 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.074 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.064 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.060 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.059 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.058 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.250 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.250 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.233 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.233 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.223 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.223 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.220 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.220 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.218 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.218 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.217 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.217 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.356 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.356 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.317 = -0.551 * 0.02 = -0.562 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.356 - 0.301 = -0.657 * 0.03 = -0.677 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.637 * 0.03 = -0.656 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.627 * 0.03 = -0.646 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.627 * 0.03 = -0.646 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.83, 0.61) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.087 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.094 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.099 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.100 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.075 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.091 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.101 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.105 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.106 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.294 req 0.107 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.039 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.062 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.076 -> buffer (10.49, 6.21) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.082 -> buffer (10.49, 6.21) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.084 -> buffer (10.49, 6.21) BUF_X16 cap 12.271 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.084 req 0.086 -> buffer (10.49, 6.21) BUF_X32 cap 25.711 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.029 -> buffer (10.49, 8.46) BUF_X1 cap 0.935 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.057 -> buffer (10.49, 8.46) BUF_X2 cap 1.704 req 0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.073 -> buffer (10.49, 8.46) BUF_X4 cap 3.252 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.080 -> buffer (10.49, 8.46) BUF_X8 cap 6.360 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.083 -> buffer (10.49, 8.46) BUF_X16 cap 12.271 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.239 req 0.085 -> buffer (10.49, 8.46) BUF_X32 cap 25.711 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.155 - 0.075 = 0.080 * 0.00 = 0.080 cap 6.239 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.101 - 0.075 = 0.027 * 0.01 = 0.026 cap 6.197 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.091 - 0.073 = 0.019 * 0.01 = 0.018 cap 4.649 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.087 - 0.073 = 0.015 * 0.02 = 0.014 cap 4.598 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.076 - 0.072 = 0.004 * 0.02 = 0.004 cap 4.356 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.075 - 0.072 = 0.003 * 0.02 = 0.003 cap 3.829 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.062 - 0.071 = -0.008 * 0.01 = -0.008 cap 2.858 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.062 - 0.071 = -0.008 * 0.02 = -0.008 cap 2.808 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.039 - 0.070 = -0.031 * 0.01 = -0.032 cap 2.089 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.039 - 0.070 = -0.031 * 0.02 = -0.032 cap 2.038 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.029 - 0.068 = -0.040 * 0.01 = -0.040 cap 0.935 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.057 - 0.069 = -0.012 * 0.01 = -0.012 cap 1.704 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.073 - 0.071 = 0.002 * 0.01 = 0.002 cap 3.252 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.080 - 0.075 = 0.006 * 0.01 = 0.005 cap 6.360 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.083 - 0.082 = 0.002 * 0.01 = 0.002 cap 12.271 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.085 - 0.096 = -0.011 * 0.01 = -0.011 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] No change after 4 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.471 worst slack -0.562 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 2 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_12/D no changes after 4 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_13/D slack = -0.562 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] Doing 7 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.140 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.062 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X1 fanout = 5 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.483 worst_slack = -0.561 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.079 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.044 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X2 fanout = 5 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.443 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.049 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.67, 11.74) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.170 -> buffer (6.67, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.140 -> buffer (6.67, 11.19) BUF_X2 cap 1.704 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.124 -> buffer (6.67, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.117 -> buffer (6.67, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.114 -> buffer (6.67, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.365 req -0.112 -> buffer (6.67, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req -0.021 -> buffer (6.67, 11.19) BUF_X1 cap 0.935 req -0.021 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req -0.004 -> buffer (6.67, 11.19) BUF_X2 cap 1.704 req -0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req 0.006 -> buffer (6.67, 11.19) BUF_X4 cap 3.252 req 0.006 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req 0.009 -> buffer (6.67, 11.19) BUF_X8 cap 6.360 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req 0.010 -> buffer (6.67, 11.19) BUF_X16 cap 12.271 req 0.010 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.293 req 0.012 -> buffer (6.67, 11.19) BUF_X32 cap 25.711 req 0.012 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.178 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.178 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.145 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.145 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.118 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.118 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.115 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.115 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.356 req -0.112 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req -0.043 - 0.084 = -0.127 * 0.01 = -0.128 cap 7.356 +[DEBUG RSZ-rebuffer] option 2: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.243 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.140 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.695 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.926 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.178 - 0.069 = -0.247 * 0.02 = -0.252 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.145 - 0.071 = -0.216 * 0.02 = -0.220 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.127 - 0.074 = -0.201 * 0.02 = -0.205 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.118 - 0.082 = -0.200 * 0.02 = -0.204 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.115 - 0.095 = -0.209 * 0.02 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req -0.112 - 0.123 = -0.236 * 0.02 = -0.240 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG ODB-DB_ECO] ECO: create net, name net43 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 13340, 22388 +[DEBUG RSZ-journal] journal make_buffer rebuffer9 +[DEBUG RSZ-rebuffer] insert net29 -> rebuffer9 (BUF_X1) -> net43 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 275 to net 29 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 276 to net 31 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer3/A to net29 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 251 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 251 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 251 to net 31 +[DEBUG RSZ-rebuffer] rebuffer rebuffer7/Z inserted 1 +[DEBUG RSZ-repair_setup] rebuffer rebuffer7/Z inserted 1 +[DEBUG RSZ-repair_setup] pass 3 slack = -0.520 worst_slack = -0.558 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.044 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.052 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X4 fanout = 5 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.081 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.087 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.092 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.093 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.093 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.093 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.072 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.083 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.090 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.091 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.092 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.093 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.063 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.078 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.087 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.090 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.091 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.093 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.053 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.073 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.085 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.089 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.091 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.092 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.043 -> buffer (7.39, 11.81) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.068 -> buffer (7.39, 11.81) BUF_X2 cap 1.704 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.082 -> buffer (7.39, 11.81) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.087 -> buffer (7.39, 11.81) BUF_X8 cap 6.360 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.090 -> buffer (7.39, 11.81) BUF_X16 cap 12.271 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.338 req 0.092 -> buffer (7.39, 11.81) BUF_X32 cap 25.711 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.161 - 0.079 = 0.082 * 0.00 = 0.082 cap 5.338 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.085 - 0.077 = 0.007 * 0.01 = 0.007 cap 4.491 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.078 - 0.076 = 0.002 * 0.01 = 0.002 cap 4.110 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.073 - 0.074 = -0.001 * 0.01 = -0.001 cap 2.943 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.053 - 0.072 = -0.019 * 0.01 = -0.019 cap 2.173 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.043 - 0.069 = -0.027 * 0.01 = -0.027 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.068 - 0.071 = -0.003 * 0.01 = -0.003 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.082 - 0.074 = 0.007 * 0.01 = 0.007 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.087 - 0.082 = 0.006 * 0.01 = 0.006 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.090 - 0.095 = -0.004 * 0.01 = -0.005 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.092 - 0.123 = -0.031 * 0.01 = -0.032 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.80, 11.81) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.168 -> buffer (6.80, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.138 -> buffer (6.80, 11.19) BUF_X2 cap 1.704 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.122 -> buffer (6.80, 11.19) BUF_X4 cap 3.252 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.115 -> buffer (6.80, 11.19) BUF_X8 cap 6.360 req -0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.112 -> buffer (6.80, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.374 req -0.110 -> buffer (6.80, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.082 -> buffer (6.80, 11.19) BUF_X1 cap 0.935 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.076 -> buffer (6.80, 11.19) BUF_X2 cap 1.704 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.071 -> buffer (6.80, 11.19) BUF_X4 cap 3.252 req -0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.071 -> buffer (6.80, 11.19) BUF_X8 cap 6.360 req -0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.070 -> buffer (6.80, 11.19) BUF_X16 cap 12.271 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.070 -> buffer (6.80, 11.19) BUF_X32 cap 25.711 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.176 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.176 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.143 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.124 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.116 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.112 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.402 req -0.110 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.040 - 0.084 = -0.124 * 0.00 = -0.124 cap 7.402 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.082 - 0.084 = -0.166 * 0.01 = -0.168 cap 7.356 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.122 - 0.077 = -0.199 * 0.01 = -0.201 cap 4.280 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.122 - 0.077 = -0.199 * 0.02 = -0.203 cap 4.234 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.138 - 0.073 = -0.211 * 0.01 = -0.214 cap 2.732 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.138 - 0.073 = -0.211 * 0.02 = -0.216 cap 2.686 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.168 - 0.071 = -0.239 * 0.01 = -0.241 cap 1.963 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.168 - 0.071 = -0.239 * 0.02 = -0.244 cap 1.916 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.176 - 0.069 = -0.245 * 0.01 = -0.248 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.143 - 0.071 = -0.213 * 0.01 = -0.216 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.124 - 0.074 = -0.199 * 0.01 = -0.201 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.082 = -0.198 * 0.01 = -0.200 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.112 - 0.095 = -0.207 * 0.01 = -0.209 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.110 - 0.123 = -0.233 * 0.01 = -0.236 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X1 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer9/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer9 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.500 worst_slack = -0.561 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.046 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.81, 11.81) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.168 -> buffer (6.81, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.139 -> buffer (6.81, 11.19) BUF_X2 cap 1.704 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.123 -> buffer (6.81, 11.19) BUF_X4 cap 3.252 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.116 -> buffer (6.81, 11.19) BUF_X8 cap 6.360 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.113 -> buffer (6.81, 11.19) BUF_X16 cap 12.271 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.110 -> buffer (6.81, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.068 -> buffer (6.81, 11.19) BUF_X1 cap 0.935 req -0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.058 -> buffer (6.81, 11.19) BUF_X2 cap 1.704 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.052 -> buffer (6.81, 11.19) BUF_X4 cap 3.252 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.050 -> buffer (6.81, 11.19) BUF_X8 cap 6.360 req -0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.050 -> buffer (6.81, 11.19) BUF_X16 cap 12.271 req -0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.049 -> buffer (6.81, 11.19) BUF_X32 cap 25.711 req -0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.184 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.184 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.147 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.147 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.118 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.114 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.111 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.041 - 0.086 = -0.127 * 0.00 = -0.127 cap 8.171 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.058 - 0.086 = -0.144 * 0.01 = -0.145 cap 8.125 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.068 - 0.084 = -0.152 * 0.01 = -0.154 cap 7.356 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.123 - 0.079 = -0.201 * 0.01 = -0.203 cap 5.048 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.123 - 0.077 = -0.199 * 0.02 = -0.203 cap 4.232 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.139 - 0.075 = -0.214 * 0.01 = -0.216 cap 3.500 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.139 - 0.073 = -0.212 * 0.02 = -0.216 cap 2.684 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.168 - 0.071 = -0.240 * 0.02 = -0.245 cap 1.915 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.184 - 0.069 = -0.253 * 0.01 = -0.255 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.147 - 0.071 = -0.218 * 0.01 = -0.220 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.127 - 0.074 = -0.201 * 0.01 = -0.203 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.118 - 0.082 = -0.199 * 0.01 = -0.201 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.114 - 0.095 = -0.208 * 0.01 = -0.210 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.111 - 0.123 = -0.234 * 0.01 = -0.237 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X4 fanout = 5 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.497 worst_slack = -0.561 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.044 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.81, 11.81) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.168 -> buffer (6.81, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.139 -> buffer (6.81, 11.19) BUF_X2 cap 1.704 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.123 -> buffer (6.81, 11.19) BUF_X4 cap 3.252 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.116 -> buffer (6.81, 11.19) BUF_X8 cap 6.360 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.113 -> buffer (6.81, 11.19) BUF_X16 cap 12.271 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.376 req -0.110 -> buffer (6.81, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.065 -> buffer (6.81, 11.19) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.055 -> buffer (6.81, 11.19) BUF_X2 cap 1.704 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.049 -> buffer (6.81, 11.19) BUF_X4 cap 3.252 req -0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.047 -> buffer (6.81, 11.19) BUF_X8 cap 6.360 req -0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.046 -> buffer (6.81, 11.19) BUF_X16 cap 12.271 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.046 -> buffer (6.81, 11.19) BUF_X32 cap 25.711 req -0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.184 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.184 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.147 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.147 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.118 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.114 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.111 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.041 - 0.086 = -0.127 * 0.00 = -0.127 cap 8.171 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.055 - 0.086 = -0.141 * 0.01 = -0.142 cap 8.125 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.065 - 0.084 = -0.149 * 0.01 = -0.150 cap 7.356 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.123 - 0.079 = -0.201 * 0.01 = -0.203 cap 5.048 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.123 - 0.077 = -0.199 * 0.02 = -0.203 cap 4.232 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.139 - 0.075 = -0.214 * 0.01 = -0.216 cap 3.500 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.139 - 0.073 = -0.212 * 0.02 = -0.216 cap 2.684 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.168 - 0.071 = -0.240 * 0.02 = -0.245 cap 1.915 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.184 - 0.069 = -0.253 * 0.01 = -0.255 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.147 - 0.071 = -0.218 * 0.01 = -0.220 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.127 - 0.074 = -0.201 * 0.01 = -0.203 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.118 - 0.082 = -0.199 * 0.01 = -0.201 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.114 - 0.095 = -0.208 * 0.01 = -0.210 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.111 - 0.123 = -0.234 * 0.01 = -0.237 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X2 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer9/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer9 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 6 slack = -0.480 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.031 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.79, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.170 -> buffer (6.79, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.141 -> buffer (6.79, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.124 -> buffer (6.79, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.117 -> buffer (6.79, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.114 -> buffer (6.79, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.373 req -0.112 -> buffer (6.79, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.058 -> buffer (6.79, 11.19) BUF_X1 cap 0.935 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.041 -> buffer (6.79, 11.19) BUF_X2 cap 1.704 req -0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.031 -> buffer (6.79, 11.19) BUF_X4 cap 3.252 req -0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.028 -> buffer (6.79, 11.19) BUF_X8 cap 6.360 req -0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.026 -> buffer (6.79, 11.19) BUF_X16 cap 12.271 req -0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.025 -> buffer (6.79, 11.19) BUF_X32 cap 25.711 req -0.025 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.185 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.185 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.148 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.148 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.128 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.128 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.119 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.115 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.115 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.125 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req -0.043 - 0.086 = -0.128 * 0.01 = -0.129 cap 8.125 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.058 - 0.084 = -0.141 * 0.01 = -0.143 cap 7.356 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.235 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.687 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.917 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.185 - 0.069 = -0.254 * 0.02 = -0.259 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.148 - 0.071 = -0.219 * 0.02 = -0.223 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.128 - 0.074 = -0.203 * 0.02 = -0.207 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.119 - 0.082 = -0.201 * 0.02 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req -0.115 - 0.095 = -0.210 * 0.02 = -0.214 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 2 buffers req -0.113 - 0.123 = -0.236 * 0.02 = -0.241 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG ODB-DB_ECO] ECO: create net, name net45 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 13570, 22388 +[DEBUG RSZ-journal] journal make_buffer rebuffer10 +[DEBUG RSZ-rebuffer] insert net29 -> rebuffer10 (BUF_X2) -> net45 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 279 to net 29 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 280 to net 32 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer9/A to net29 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 275 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 275 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 275 to net 32 +[DEBUG RSZ-rebuffer] rebuffer rebuffer7/Z inserted 1 +[DEBUG RSZ-repair_setup] rebuffer rebuffer7/Z inserted 1 +[DEBUG RSZ-repair_setup] pass 7 slack = -0.537 worst_slack = -0.561 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.028 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.93, 11.81) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.168 -> buffer (6.93, 11.19) BUF_X1 cap 0.935 req -0.168 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.139 -> buffer (6.93, 11.19) BUF_X2 cap 1.704 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.123 -> buffer (6.93, 11.19) BUF_X4 cap 3.252 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.116 -> buffer (6.93, 11.19) BUF_X8 cap 6.360 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.113 -> buffer (6.93, 11.19) BUF_X16 cap 12.271 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.384 req -0.110 -> buffer (6.93, 11.19) BUF_X32 cap 25.711 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.105 -> buffer (6.93, 11.19) BUF_X1 cap 0.935 req -0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.095 -> buffer (6.93, 11.19) BUF_X2 cap 1.704 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.088 -> buffer (6.93, 11.19) BUF_X4 cap 3.252 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.087 -> buffer (6.93, 11.19) BUF_X8 cap 6.360 req -0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.086 -> buffer (6.93, 11.19) BUF_X16 cap 12.271 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.750 req -0.085 -> buffer (6.93, 11.19) BUF_X32 cap 25.711 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.184 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.184 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.147 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.147 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.127 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.118 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.114 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.171 req -0.111 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.041 - 0.086 = -0.127 * 0.00 = -0.127 cap 8.171 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.095 - 0.086 = -0.180 * 0.01 = -0.182 cap 8.125 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.105 - 0.084 = -0.189 * 0.01 = -0.190 cap 7.356 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.123 - 0.079 = -0.201 * 0.01 = -0.203 cap 5.039 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.123 - 0.077 = -0.199 * 0.02 = -0.203 cap 4.224 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.139 - 0.075 = -0.214 * 0.01 = -0.216 cap 3.491 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.139 - 0.073 = -0.212 * 0.02 = -0.216 cap 2.676 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.168 - 0.071 = -0.240 * 0.02 = -0.245 cap 1.906 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.184 - 0.069 = -0.253 * 0.01 = -0.255 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.147 - 0.071 = -0.218 * 0.01 = -0.220 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.127 - 0.074 = -0.201 * 0.01 = -0.203 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.118 - 0.082 = -0.199 * 0.01 = -0.201 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.114 - 0.095 = -0.208 * 0.01 = -0.210 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.111 - 0.123 = -0.234 * 0.01 = -0.237 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X2 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer10/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer10 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 8 slack = -0.529 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.091 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.081 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.076 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.081 - 0.089 = -0.170 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.091 - 0.086 = -0.176 * 0.01 = -0.178 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.191 * 0.01 = -0.193 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.089 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.095 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.100 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.101 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.080 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.091 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.098 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.099 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.100 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.955 req 0.101 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.071 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.086 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.095 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.098 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.099 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.932 req 0.101 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.061 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.081 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.093 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.097 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.099 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.099 req 0.100 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.050 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.075 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.090 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.095 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.098 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.397 req 0.100 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.169 - 0.074 = 0.095 * 0.00 = 0.095 cap 5.397 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.093 - 0.073 = 0.020 * 0.01 = 0.020 cap 4.550 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.086 - 0.072 = 0.014 * 0.01 = 0.014 cap 4.169 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.081 - 0.071 = 0.010 * 0.01 = 0.010 cap 3.002 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.061 - 0.070 = -0.009 * 0.01 = -0.009 cap 2.232 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.050 - 0.068 = -0.018 * 0.01 = -0.019 cap 0.935 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.075 - 0.069 = 0.006 * 0.01 = 0.006 cap 1.704 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.090 - 0.071 = 0.019 * 0.01 = 0.018 cap 3.252 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.095 - 0.075 = 0.021 * 0.01 = 0.021 cap 6.360 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.098 - 0.082 = 0.016 * 0.01 = 0.016 cap 12.271 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.100 - 0.096 = 0.004 * 0.01 = 0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.131 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.131 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.124 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.120 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.119 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.119 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.118 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u1_13/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_13/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_13 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 9 slack = -0.529 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.031 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.108 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.092 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.082 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.079 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.076 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.082 - 0.089 = -0.171 * 0.01 = -0.173 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.092 - 0.086 = -0.178 * 0.01 = -0.179 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.108 - 0.084 = -0.192 * 0.01 = -0.194 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.14, 13.39) cap 1.811 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.083 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.093 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.099 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.101 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.101 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.102 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.073 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.087 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.095 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.098 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.099 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.723 req 0.100 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.064 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.082 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.093 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.097 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.099 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.700 req 0.100 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.054 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.077 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.090 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.096 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.098 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.867 req 0.100 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.043 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.072 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.072 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.087 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.094 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.097 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.165 req 0.099 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.169 - 0.074 = 0.094 * 0.00 = 0.094 cap 6.165 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.093 - 0.074 = 0.019 * 0.01 = 0.019 cap 5.717 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.090 - 0.073 = 0.018 * 0.01 = 0.018 cap 4.550 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.082 - 0.072 = 0.010 * 0.01 = 0.010 cap 4.169 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.077 - 0.071 = 0.006 * 0.01 = 0.006 cap 3.002 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.054 - 0.070 = -0.016 * 0.01 = -0.016 cap 2.232 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.043 - 0.068 = -0.025 * 0.01 = -0.026 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.072 - 0.069 = 0.002 * 0.01 = 0.002 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.087 - 0.071 = 0.016 * 0.01 = 0.016 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.094 - 0.075 = 0.019 * 0.01 = 0.019 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.097 - 0.082 = 0.016 * 0.01 = 0.015 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.099 - 0.096 = 0.003 * 0.01 = 0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.132 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.126 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.121 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.120 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.120 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.119 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u1_13/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_13/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_13 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 10 slack = -0.523 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] u1/r2_14/D slack = -0.533 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 8 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.032 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.026 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.111 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.095 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.085 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.081 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.079 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.085 - 0.089 = -0.174 * 0.01 = -0.175 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.095 - 0.086 = -0.180 * 0.01 = -0.182 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.111 - 0.084 = -0.195 * 0.01 = -0.197 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.077 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.093 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.103 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.107 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.108 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.109 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.058 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.079 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.091 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.095 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.097 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.273 req 0.099 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.050 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.075 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.089 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.094 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.097 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.250 req 0.099 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.040 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.040 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.070 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.086 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.093 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.096 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.418 req 0.098 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.029 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.064 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.083 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.091 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.095 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.715 req 0.098 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.168 - 0.076 = 0.091 * 0.00 = 0.091 cap 7.715 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.103 - 0.076 = 0.027 * 0.01 = 0.027 cap 7.672 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.093 - 0.074 = 0.019 * 0.01 = 0.019 cap 6.124 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.089 - 0.074 = 0.015 * 0.01 = 0.015 cap 5.717 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.086 - 0.073 = 0.013 * 0.01 = 0.013 cap 4.550 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.075 - 0.072 = 0.003 * 0.01 = 0.003 cap 4.169 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.070 - 0.071 = -0.001 * 0.01 = -0.001 cap 3.002 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.040 - 0.070 = -0.030 * 0.01 = -0.030 cap 2.232 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.029 - 0.068 = -0.039 * 0.01 = -0.040 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.064 - 0.069 = -0.005 * 0.01 = -0.005 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.083 - 0.071 = 0.012 * 0.01 = 0.012 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.091 - 0.075 = 0.017 * 0.01 = 0.017 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.095 - 0.082 = 0.014 * 0.01 = 0.014 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.098 - 0.096 = 0.002 * 0.01 = 0.002 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.135 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.135 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.128 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.123 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.123 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.123 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.122 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_14/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_14 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.535 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.033 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.113 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.097 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.087 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.084 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.082 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.081 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.087 - 0.089 = -0.176 * 0.01 = -0.178 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.097 - 0.086 = -0.182 * 0.01 = -0.184 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.113 - 0.084 = -0.197 * 0.01 = -0.199 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.71, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.076 -> buffer (0.71, 13.39) BUF_X1 cap 0.935 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.093 -> buffer (0.71, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.103 -> buffer (0.71, 13.39) BUF_X4 cap 3.252 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.106 -> buffer (0.71, 13.39) BUF_X8 cap 6.360 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.107 -> buffer (0.71, 13.39) BUF_X16 cap 12.271 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.109 -> buffer (0.71, 13.39) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.050 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.050 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.074 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.088 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.093 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.095 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.042 req 0.097 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.042 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.070 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.085 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.092 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.095 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.020 req 0.097 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.032 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.032 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.065 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.083 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.091 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.094 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.187 req 0.097 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.021 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.021 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.059 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.080 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.089 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.093 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.485 req 0.096 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.166 - 0.077 = 0.089 * 0.00 = 0.089 cap 8.485 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.103 - 0.077 = 0.026 * 0.01 = 0.025 cap 8.439 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.093 - 0.075 = 0.017 * 0.01 = 0.017 cap 6.891 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.088 - 0.075 = 0.013 * 0.01 = 0.012 cap 6.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.085 - 0.074 = 0.012 * 0.01 = 0.011 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.083 - 0.073 = 0.010 * 0.01 = 0.010 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.070 - 0.072 = -0.002 * 0.01 = -0.002 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.065 - 0.071 = -0.006 * 0.01 = -0.006 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.032 - 0.070 = -0.038 * 0.01 = -0.038 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.021 - 0.068 = -0.047 * 0.01 = -0.048 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.059 - 0.069 = -0.010 * 0.01 = -0.010 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.009 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.089 - 0.075 = 0.014 * 0.01 = 0.014 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.093 - 0.082 = 0.012 * 0.01 = 0.012 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.096 - 0.096 = -0.000 * 0.01 = -0.000 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_14/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_14 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.528 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.035 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.022 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.115 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.098 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.088 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.085 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.084 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.082 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.088 - 0.089 = -0.177 * 0.01 = -0.179 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.098 - 0.086 = -0.184 * 0.01 = -0.186 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.115 - 0.084 = -0.199 * 0.01 = -0.201 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.102 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.107 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.108 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.046 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.076 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.093 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.100 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.106 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.029 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.063 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.082 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.090 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.094 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.097 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.019 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.019 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.058 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.080 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.089 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.093 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.096 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.008 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.053 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.077 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.087 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.093 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.096 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.166 - 0.079 = 0.087 * 0.00 = 0.087 cap 10.033 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.102 - 0.079 = 0.023 * 0.01 = 0.023 cap 9.990 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.100 - 0.079 = 0.021 * 0.01 = 0.021 cap 9.802 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.093 - 0.075 = 0.018 * 0.01 = 0.018 cap 6.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.082 - 0.074 = 0.008 * 0.01 = 0.008 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.080 - 0.073 = 0.007 * 0.01 = 0.007 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.063 - 0.072 = -0.009 * 0.01 = -0.009 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.058 - 0.071 = -0.012 * 0.01 = -0.013 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.019 - 0.070 = -0.051 * 0.01 = -0.051 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.008 - 0.068 = -0.060 * 0.01 = -0.061 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.053 - 0.069 = -0.016 * 0.01 = -0.017 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.077 - 0.071 = 0.006 * 0.01 = 0.006 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.087 - 0.075 = 0.013 * 0.01 = 0.013 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.093 - 0.082 = 0.011 * 0.01 = 0.011 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.096 - 0.096 = -0.000 * 0.01 = -0.000 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.136 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.136 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.129 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.129 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.125 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.125 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.124 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.124 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.123 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.528 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_14/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_2/D slack = -0.537 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 9 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.035 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.027 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.115 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.098 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.088 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.085 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.084 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.082 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.088 - 0.089 = -0.177 * 0.01 = -0.179 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.098 - 0.086 = -0.184 * 0.01 = -0.186 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.115 - 0.084 = -0.199 * 0.01 = -0.201 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.102 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.107 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.108 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.046 -> buffer (1.26, 13.39) BUF_X1 cap 0.935 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.076 -> buffer (1.26, 13.39) BUF_X2 cap 1.704 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.093 -> buffer (1.26, 13.39) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.100 -> buffer (1.26, 13.39) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.26, 13.39) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.106 -> buffer (1.26, 13.39) BUF_X32 cap 25.711 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.029 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.029 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.063 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.082 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.090 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.094 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.568 req 0.097 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.019 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.019 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.058 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.080 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.089 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.093 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.735 req 0.096 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.008 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.053 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.077 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.087 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.093 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.033 req 0.096 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.166 - 0.079 = 0.087 * 0.00 = 0.087 cap 10.033 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.102 - 0.079 = 0.023 * 0.01 = 0.023 cap 9.990 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.100 - 0.079 = 0.021 * 0.01 = 0.021 cap 9.802 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.093 - 0.075 = 0.018 * 0.01 = 0.018 cap 6.694 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.082 - 0.074 = 0.008 * 0.01 = 0.008 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.080 - 0.073 = 0.007 * 0.01 = 0.007 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.063 - 0.072 = -0.009 * 0.01 = -0.009 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.058 - 0.071 = -0.012 * 0.01 = -0.013 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.019 - 0.070 = -0.051 * 0.01 = -0.051 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.008 - 0.068 = -0.060 * 0.01 = -0.061 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.053 - 0.069 = -0.016 * 0.01 = -0.017 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.077 - 0.071 = 0.006 * 0.01 = 0.006 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.087 - 0.075 = 0.013 * 0.01 = 0.013 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.093 - 0.082 = 0.011 * 0.01 = 0.011 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.096 - 0.096 = -0.000 * 0.01 = -0.000 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_2/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_2 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.534 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.036 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.025 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.116 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.100 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.086 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.085 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.084 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.090 - 0.089 = -0.179 * 0.01 = -0.180 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.100 - 0.086 = -0.185 * 0.01 = -0.187 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.116 - 0.084 = -0.200 * 0.01 = -0.202 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.29, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.101 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.106 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.108 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.046 -> buffer (1.29, 13.39) BUF_X1 cap 0.935 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.076 -> buffer (1.29, 13.39) BUF_X2 cap 1.704 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.093 -> buffer (1.29, 13.39) BUF_X4 cap 3.252 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.100 -> buffer (1.29, 13.39) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.103 -> buffer (1.29, 13.39) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.592 req 0.105 -> buffer (1.29, 13.39) BUF_X32 cap 25.711 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.026 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.064 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.084 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.093 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.098 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.337 req 0.100 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.012 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req 0.012 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.055 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.077 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.088 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.093 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.504 req 0.096 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.001 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.049 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.075 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.086 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.092 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.802 req 0.095 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.166 - 0.080 = 0.086 * 0.00 = 0.086 cap 10.802 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.101 - 0.080 = 0.022 * 0.01 = 0.021 cap 10.759 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.100 - 0.080 = 0.020 * 0.01 = 0.020 cap 10.570 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.093 - 0.076 = 0.017 * 0.01 = 0.016 cap 7.462 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.084 - 0.074 = 0.010 * 0.01 = 0.010 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.077 - 0.073 = 0.005 * 0.01 = 0.005 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.064 - 0.072 = -0.008 * 0.01 = -0.008 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.055 - 0.071 = -0.016 * 0.01 = -0.016 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.012 - 0.070 = -0.058 * 0.01 = -0.058 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.001 - 0.068 = -0.067 * 0.01 = -0.068 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.049 - 0.069 = -0.020 * 0.01 = -0.020 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.075 - 0.071 = 0.004 * 0.01 = 0.004 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.086 - 0.075 = 0.011 * 0.01 = 0.011 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.092 - 0.082 = 0.010 * 0.01 = 0.010 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.095 - 0.096 = -0.001 * 0.01 = -0.001 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.132 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.127 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.127 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.126 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.126 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.126 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_2/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_2 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.530 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.022 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.119 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.102 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.092 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.089 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.088 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.086 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.092 - 0.089 = -0.181 * 0.01 = -0.183 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.102 - 0.086 = -0.188 * 0.01 = -0.190 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.119 - 0.084 = -0.203 * 0.01 = -0.205 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.091 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.101 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.107 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.045 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.075 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.092 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.099 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.102 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.104 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.017 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.017 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.061 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.084 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.095 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.100 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.103 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req -0.002 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.047 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.073 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.085 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.091 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.094 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req -0.013 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.042 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.070 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.083 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.090 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.094 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.165 - 0.082 = 0.083 * 0.00 = 0.083 cap 12.350 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.101 - 0.082 = 0.019 * 0.01 = 0.019 cap 12.307 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.099 - 0.081 = 0.017 * 0.01 = 0.017 cap 12.120 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.095 - 0.078 = 0.017 * 0.01 = 0.017 cap 8.825 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.084 - 0.074 = 0.010 * 0.01 = 0.010 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.073 - 0.073 = 0.001 * 0.01 = 0.001 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.061 - 0.072 = -0.011 * 0.01 = -0.011 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.047 - 0.071 = -0.024 * 0.01 = -0.024 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.002 - 0.070 = -0.072 * 0.01 = -0.073 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.013 - 0.068 = -0.081 * 0.01 = -0.082 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.042 - 0.069 = -0.028 * 0.01 = -0.028 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.070 - 0.071 = -0.001 * 0.01 = -0.001 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.083 - 0.075 = 0.009 * 0.01 = 0.009 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.090 - 0.082 = 0.009 * 0.01 = 0.008 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.094 - 0.096 = -0.002 * 0.01 = -0.002 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.142 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.136 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.136 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.131 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.131 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.530 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_2/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_3/D slack = -0.541 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 10 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.028 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.119 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.102 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.092 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.089 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.088 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.086 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.092 - 0.089 = -0.181 * 0.01 = -0.183 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.102 - 0.086 = -0.188 * 0.01 = -0.190 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.119 - 0.084 = -0.203 * 0.01 = -0.205 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.091 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.101 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.107 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.045 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.075 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.092 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.099 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.102 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.104 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.017 -> buffer (1.84, 13.39) BUF_X1 cap 0.935 req 0.017 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.061 -> buffer (1.84, 13.39) BUF_X2 cap 1.704 req 0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.084 -> buffer (1.84, 13.39) BUF_X4 cap 3.252 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.095 -> buffer (1.84, 13.39) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.100 -> buffer (1.84, 13.39) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.885 req 0.103 -> buffer (1.84, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req -0.002 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.047 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.073 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.085 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.091 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.052 req 0.094 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req -0.013 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.042 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.042 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.070 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.083 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.090 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.350 req 0.094 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.165 - 0.082 = 0.083 * 0.00 = 0.083 cap 12.350 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.101 - 0.082 = 0.019 * 0.01 = 0.019 cap 12.307 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.099 - 0.081 = 0.017 * 0.01 = 0.017 cap 12.120 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.095 - 0.078 = 0.017 * 0.01 = 0.017 cap 8.825 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.084 - 0.074 = 0.010 * 0.01 = 0.010 cap 5.717 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.073 - 0.073 = 0.001 * 0.01 = 0.001 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.061 - 0.072 = -0.011 * 0.01 = -0.011 cap 4.169 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.047 - 0.071 = -0.024 * 0.01 = -0.024 cap 3.002 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.002 - 0.070 = -0.072 * 0.01 = -0.073 cap 2.232 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.013 - 0.068 = -0.081 * 0.01 = -0.082 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.042 - 0.069 = -0.028 * 0.01 = -0.028 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.070 - 0.071 = -0.001 * 0.01 = -0.001 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.083 - 0.075 = 0.009 * 0.01 = 0.009 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.090 - 0.082 = 0.009 * 0.01 = 0.008 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.094 - 0.096 = -0.002 * 0.01 = -0.002 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_3/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_3 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.539 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.120 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.103 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.094 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.089 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.087 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.094 - 0.089 = -0.182 * 0.01 = -0.184 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.103 - 0.086 = -0.189 * 0.01 = -0.191 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.86, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.090 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.100 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.106 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.044 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.044 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.075 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.091 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.098 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.102 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.104 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.016 -> buffer (1.86, 13.39) BUF_X1 cap 0.935 req 0.016 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.060 -> buffer (1.86, 13.39) BUF_X2 cap 1.704 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.084 -> buffer (1.86, 13.39) BUF_X4 cap 3.252 req 0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.095 -> buffer (1.86, 13.39) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.100 -> buffer (1.86, 13.39) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.887 req 0.103 -> buffer (1.86, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req -0.006 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req -0.006 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req 0.047 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.047 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req 0.074 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req 0.087 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req 0.093 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 11.822 req 0.097 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req -0.020 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req 0.038 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req 0.068 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.068 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req 0.082 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req 0.089 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.119 req 0.093 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.165 - 0.083 = 0.082 * 0.00 = 0.082 cap 13.119 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.100 - 0.083 = 0.018 * 0.01 = 0.018 cap 13.076 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.095 - 0.078 = 0.016 * 0.01 = 0.016 cap 9.593 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.087 - 0.076 = 0.011 * 0.01 = 0.010 cap 7.658 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.084 - 0.075 = 0.009 * 0.01 = 0.009 cap 6.485 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.074 - 0.073 = 0.002 * 0.01 = 0.002 cap 4.550 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.047 - 0.071 = -0.024 * 0.01 = -0.024 cap 3.002 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.006 - 0.070 = -0.076 * 0.01 = -0.076 cap 2.232 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.020 - 0.068 = -0.088 * 0.01 = -0.089 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.038 - 0.069 = -0.031 * 0.01 = -0.032 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.068 - 0.071 = -0.003 * 0.01 = -0.003 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.082 - 0.075 = 0.007 * 0.01 = 0.007 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.089 - 0.082 = 0.008 * 0.01 = 0.008 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.093 - 0.096 = -0.003 * 0.01 = -0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_3/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_3 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.534 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.040 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.023 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.123 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.106 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.096 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.093 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.091 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.096 - 0.089 = -0.185 * 0.01 = -0.187 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.106 - 0.086 = -0.192 * 0.01 = -0.194 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.099 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.103 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.043 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.074 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.090 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.098 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.101 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.015 -> buffer (1.83, 13.39) BUF_X1 cap 0.935 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.060 -> buffer (1.83, 13.39) BUF_X2 cap 1.704 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.083 -> buffer (1.83, 13.39) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.094 -> buffer (1.83, 13.39) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.099 -> buffer (1.83, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.102 -> buffer (1.83, 13.39) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req -0.014 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req -0.014 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.045 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.076 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.090 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.097 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.101 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req -0.034 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.034 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.030 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.064 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.079 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.087 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.092 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.164 - 0.084 = 0.079 * 0.00 = 0.079 cap 14.667 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.099 - 0.084 = 0.015 * 0.01 = 0.015 cap 14.625 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.097 - 0.083 = 0.014 * 0.01 = 0.014 cap 13.568 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.090 - 0.076 = 0.014 * 0.01 = 0.013 cap 7.658 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.076 - 0.073 = 0.003 * 0.01 = 0.003 cap 4.550 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.045 - 0.071 = -0.026 * 0.01 = -0.026 cap 3.002 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.014 - 0.070 = -0.084 * 0.01 = -0.085 cap 2.232 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.034 - 0.068 = -0.102 * 0.01 = -0.103 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.030 - 0.069 = -0.039 * 0.01 = -0.039 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.064 - 0.071 = -0.007 * 0.01 = -0.007 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.079 - 0.075 = 0.005 * 0.01 = 0.005 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.087 - 0.082 = 0.006 * 0.01 = 0.006 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.092 - 0.096 = -0.004 * 0.01 = -0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.142 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.136 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.136 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.131 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.131 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.130 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.534 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_3/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_4/D slack = -0.545 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 11 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.040 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.030 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.123 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.106 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.096 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.093 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.091 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.096 - 0.089 = -0.185 * 0.01 = -0.187 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.106 - 0.086 = -0.192 * 0.01 = -0.194 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.099 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.103 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.043 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.074 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.090 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.098 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.098 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.101 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.015 -> buffer (1.83, 13.39) BUF_X1 cap 0.935 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.060 -> buffer (1.83, 13.39) BUF_X2 cap 1.704 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.083 -> buffer (1.83, 13.39) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.094 -> buffer (1.83, 13.39) BUF_X8 cap 6.360 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.099 -> buffer (1.83, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.102 -> buffer (1.83, 13.39) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req -0.014 -> buffer (3.36, 11.81) BUF_X1 cap 0.935 req -0.014 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.045 -> buffer (3.36, 11.81) BUF_X2 cap 1.704 req 0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.076 -> buffer (3.36, 11.81) BUF_X4 cap 3.252 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.090 -> buffer (3.36, 11.81) BUF_X8 cap 6.360 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.097 -> buffer (3.36, 11.81) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.370 req 0.101 -> buffer (3.36, 11.81) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req -0.034 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.034 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.030 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.064 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.079 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.087 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.087 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.667 req 0.092 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.092 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.164 - 0.084 = 0.079 * 0.00 = 0.079 cap 14.667 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.099 - 0.084 = 0.015 * 0.01 = 0.015 cap 14.625 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.097 - 0.083 = 0.014 * 0.01 = 0.014 cap 13.568 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.090 - 0.076 = 0.014 * 0.01 = 0.013 cap 7.658 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.076 - 0.073 = 0.003 * 0.01 = 0.003 cap 4.550 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.045 - 0.071 = -0.026 * 0.01 = -0.026 cap 3.002 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.014 - 0.070 = -0.084 * 0.01 = -0.085 cap 2.232 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.034 - 0.068 = -0.102 * 0.01 = -0.103 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.030 - 0.069 = -0.039 * 0.01 = -0.039 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.064 - 0.071 = -0.007 * 0.01 = -0.007 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.079 - 0.075 = 0.005 * 0.01 = 0.005 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.087 - 0.082 = 0.006 * 0.01 = 0.006 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.092 - 0.096 = -0.004 * 0.01 = -0.004 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_4/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_4 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.544 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.041 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.122 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.106 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.096 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.092 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.091 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.096 - 0.089 = -0.185 * 0.01 = -0.186 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.106 - 0.086 = -0.191 * 0.01 = -0.193 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.38, 11.81) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.099 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.102 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.104 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.043 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.043 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.073 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.090 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.097 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.100 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.015 -> buffer (1.83, 13.39) BUF_X1 cap 0.935 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.059 -> buffer (1.83, 13.39) BUF_X2 cap 1.704 req 0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.083 -> buffer (1.83, 13.39) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.093 -> buffer (1.83, 13.39) BUF_X8 cap 6.360 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.099 -> buffer (1.83, 13.39) BUF_X16 cap 12.271 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.102 -> buffer (1.83, 13.39) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req -0.015 -> buffer (3.38, 11.81) BUF_X1 cap 0.935 req -0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req 0.044 -> buffer (3.38, 11.81) BUF_X2 cap 1.704 req 0.044 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req 0.075 -> buffer (3.38, 11.81) BUF_X4 cap 3.252 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req 0.089 -> buffer (3.38, 11.81) BUF_X8 cap 6.360 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req 0.097 -> buffer (3.38, 11.81) BUF_X16 cap 12.271 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.371 req 0.101 -> buffer (3.38, 11.81) BUF_X32 cap 25.711 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req -0.039 -> buffer (8.18, 11.81) BUF_X1 cap 0.935 req -0.039 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req 0.028 -> buffer (8.18, 11.81) BUF_X2 cap 1.704 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req 0.064 -> buffer (8.18, 11.81) BUF_X4 cap 3.252 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req 0.080 -> buffer (8.18, 11.81) BUF_X8 cap 6.360 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req 0.088 -> buffer (8.18, 11.81) BUF_X16 cap 12.271 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 15.437 req 0.093 -> buffer (8.18, 11.81) BUF_X32 cap 25.711 req 0.093 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.165 - 0.085 = 0.080 * 0.00 = 0.080 cap 15.437 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.099 - 0.085 = 0.014 * 0.01 = 0.014 cap 15.394 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.097 - 0.084 = 0.013 * 0.01 = 0.012 cap 14.336 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.089 - 0.077 = 0.012 * 0.01 = 0.012 cap 8.425 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.075 - 0.073 = 0.002 * 0.01 = 0.002 cap 5.317 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.044 - 0.072 = -0.027 * 0.01 = -0.028 cap 3.769 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.015 - 0.071 = -0.085 * 0.01 = -0.086 cap 3.000 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.039 - 0.068 = -0.108 * 0.01 = -0.109 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.028 - 0.069 = -0.041 * 0.01 = -0.041 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.064 - 0.071 = -0.007 * 0.01 = -0.007 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.080 - 0.075 = 0.005 * 0.01 = 0.005 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.088 - 0.082 = 0.007 * 0.01 = 0.007 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.093 - 0.096 = -0.003 * 0.01 = -0.003 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u1_4/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_4 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.538 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.042 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.118 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.101 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.091 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.088 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.086 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.085 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.091 - 0.089 = -0.180 * 0.01 = -0.182 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.101 - 0.086 = -0.187 * 0.01 = -0.189 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.118 - 0.084 = -0.201 * 0.01 = -0.203 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X8 -> BUF_X16 +[DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X8) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.536 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.038 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.034 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.018 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.115 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.115 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.099 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.089 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.086 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.084 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.083 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.089 - 0.089 = -0.178 * 0.01 = -0.180 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.099 - 0.086 = -0.185 * 0.01 = -0.186 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.115 - 0.084 = -0.199 * 0.01 = -0.201 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer9/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer9 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.527 worst_slack = -0.566 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.031 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.028 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.018 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X16 fanout = 5 drvr_index = 10 +[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.35, 11.81) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer3/Z +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.079 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.095 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.105 -> buffer (0.69, 13.39) BUF_X4 cap 3.252 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.109 -> buffer (0.69, 13.39) BUF_X8 cap 6.360 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.110 -> buffer (0.69, 13.39) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.111 -> buffer (0.69, 13.39) BUF_X32 cap 25.711 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.049 -> buffer (1.25, 13.39) BUF_X1 cap 0.935 req 0.049 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.080 -> buffer (1.25, 13.39) BUF_X2 cap 1.704 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.096 -> buffer (1.25, 13.39) BUF_X4 cap 3.252 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.103 -> buffer (1.25, 13.39) BUF_X8 cap 6.360 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.107 -> buffer (1.25, 13.39) BUF_X16 cap 12.271 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.590 req 0.109 -> buffer (1.25, 13.39) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.021 -> buffer (1.83, 13.39) BUF_X1 cap 0.935 req 0.021 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.066 -> buffer (1.83, 13.39) BUF_X2 cap 1.704 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.089 -> buffer (1.83, 13.39) BUF_X4 cap 3.252 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.100 -> buffer (1.83, 13.39) BUF_X8 cap 6.360 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.105 -> buffer (1.83, 13.39) BUF_X16 cap 12.271 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.884 req 0.108 -> buffer (1.83, 13.39) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req -0.008 -> buffer (3.35, 11.81) BUF_X1 cap 0.935 req -0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req 0.051 -> buffer (3.35, 11.81) BUF_X2 cap 1.704 req 0.051 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req 0.082 -> buffer (3.35, 11.81) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req 0.096 -> buffer (3.35, 11.81) BUF_X8 cap 6.360 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req 0.103 -> buffer (3.35, 11.81) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.369 req 0.107 -> buffer (3.35, 11.81) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req -0.040 -> buffer (9.78, 11.63) BUF_X1 cap 0.935 req -0.040 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req 0.035 -> buffer (9.78, 11.63) BUF_X2 cap 1.704 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req 0.073 -> buffer (9.78, 11.63) BUF_X4 cap 3.252 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req 0.091 -> buffer (9.78, 11.63) BUF_X8 cap 6.360 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req 0.101 -> buffer (9.78, 11.63) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 17.118 req 0.106 -> buffer (9.78, 11.63) BUF_X32 cap 25.711 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.178 - 0.078 = 0.101 * 0.00 = 0.101 cap 17.118 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.105 - 0.078 = 0.027 * 0.01 = 0.027 cap 17.075 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.103 - 0.078 = 0.026 * 0.01 = 0.026 cap 16.888 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.103 - 0.077 = 0.026 * 0.01 = 0.025 cap 16.020 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.100 - 0.076 = 0.024 * 0.01 = 0.024 cap 13.594 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.096 - 0.074 = 0.022 * 0.01 = 0.022 cap 10.109 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.082 - 0.072 = 0.010 * 0.01 = 0.010 cap 7.001 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.051 - 0.071 = -0.020 * 0.01 = -0.021 cap 5.453 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.008 - 0.071 = -0.079 * 0.01 = -0.080 cap 4.684 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.040 - 0.068 = -0.109 * 0.01 = -0.110 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.035 - 0.069 = -0.034 * 0.01 = -0.035 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.073 - 0.070 = 0.004 * 0.01 = 0.004 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.091 - 0.072 = 0.020 * 0.01 = 0.020 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.101 - 0.075 = 0.026 * 0.01 = 0.025 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.106 - 0.083 = 0.023 * 0.01 = 0.023 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 +[DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X8 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.146 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.146 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.135 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.135 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.134 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.134 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.133 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.527 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_4/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_5/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 12 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_5/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_5/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_5/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_5 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.284 worst_slack = -0.567 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_5/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_5/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.75, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.152 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.145 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.340 -> buffer (7.75, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.325 -> buffer (7.75, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.315 -> buffer (7.75, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.311 -> buffer (7.75, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.310 -> buffer (7.75, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.204 req -0.309 -> buffer (7.75, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.346 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.329 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.349 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.349 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.319 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.353 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.332 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.320 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.228 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.228 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.198 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.321 = -0.554 * 0.00 = -0.554 cap 12.434 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.317 = -0.550 * 0.01 = -0.556 cap 10.062 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.317 = -0.550 * 0.02 = -0.561 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.320 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.320 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.332 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.649 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] No change after 1 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.287 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_5/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_6/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 13 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_6/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_6/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_6/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_6 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_6/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_6/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.61, 9.01) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.152 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.145 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.347 -> buffer (6.61, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.329 -> buffer (6.61, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.318 -> buffer (6.61, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.313 -> buffer (6.61, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.311 -> buffer (6.61, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.251 req -0.309 -> buffer (6.61, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.353 -> buffer (6.61, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.332 -> buffer (6.61, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.320 -> buffer (6.61, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.314 -> buffer (6.61, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.311 -> buffer (6.61, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.068 req -0.309 -> buffer (6.61, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.228 -> buffer (6.61, 10.52) BUF_X1 cap 0.935 req -0.228 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.211 -> buffer (6.61, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.201 -> buffer (6.61, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.198 -> buffer (6.61, 10.52) BUF_X8 cap 6.360 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.196 -> buffer (6.61, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.305 req -0.195 -> buffer (6.61, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.321 = -0.554 * 0.00 = -0.554 cap 12.433 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.317 = -0.550 * 0.01 = -0.556 cap 10.062 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.317 = -0.550 * 0.02 = -0.561 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.320 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.320 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.190 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.332 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.642 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.873 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.649 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] No change after 1 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.287 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_6/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_7/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 14 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_7/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_7/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_7/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_7 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_7/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_7/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.31, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.152 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.145 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.336 -> buffer (8.31, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.323 -> buffer (8.31, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.313 -> buffer (8.31, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.311 -> buffer (8.31, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.310 -> buffer (8.31, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.227 req -0.308 -> buffer (8.31, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.343 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.326 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.326 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.316 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.975 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.346 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.346 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.329 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.045 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.349 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.349 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.319 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.353 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.332 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.320 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.228 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.228 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.198 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.321 = -0.554 * 0.00 = -0.554 cap 12.434 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.317 = -0.550 * 0.01 = -0.556 cap 10.062 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.317 = -0.550 * 0.02 = -0.561 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.320 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.320 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.332 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.649 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] No change after 1 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.287 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_7/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_8/D slack = -0.490 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 15 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.059 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.455 worst_slack = -0.569 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.049 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.438 worst_slack = -0.575 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.043 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X8 -> BUF_X16 +[DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X8) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.435 worst_slack = -0.575 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.034 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.024 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.088 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.096 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.100 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.100 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.101 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.101 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.102 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.102 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.071 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.071 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.077 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.083 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.083 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.056 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.069 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.078 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.081 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.082 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.083 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.045 -> buffer (12.09, 8.29) BUF_X1 cap 0.935 req 0.045 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.064 -> buffer (12.09, 8.29) BUF_X2 cap 1.704 req 0.064 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.075 -> buffer (12.09, 8.29) BUF_X4 cap 3.252 req 0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.079 -> buffer (12.09, 8.29) BUF_X8 cap 6.360 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.081 -> buffer (12.09, 8.29) BUF_X16 cap 12.271 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.909 req 0.082 -> buffer (12.09, 8.29) BUF_X32 cap 25.711 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.151 - 0.070 = 0.081 * 0.00 = 0.081 cap 3.909 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.088 - 0.070 = 0.018 * 0.01 = 0.018 cap 3.738 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req 0.071 - 0.070 = 0.001 * 0.02 = 0.001 cap 3.695 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.069 - 0.069 = -0.000 * 0.02 = -0.000 cap 2.794 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.056 - 0.069 = -0.014 * 0.01 = -0.014 cap 2.196 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.056 - 0.069 = -0.013 * 0.02 = -0.014 cap 2.025 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.045 - 0.068 = -0.024 * 0.01 = -0.024 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.064 - 0.069 = -0.005 * 0.01 = -0.005 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.075 - 0.070 = 0.005 * 0.01 = 0.005 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.079 - 0.072 = 0.008 * 0.01 = 0.007 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.081 - 0.075 = 0.006 * 0.01 = 0.006 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.082 - 0.083 = -0.001 * 0.01 = -0.001 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.109 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.092 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.092 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.082 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.079 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.078 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.076 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.230 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.230 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.213 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.213 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.203 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.203 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.200 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.200 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_8/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_8 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 4 slack = -0.431 worst_slack = -0.575 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.035 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.021 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.84, 6.21) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.086 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.097 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.104 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.105 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.106 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.107 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.070 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.077 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.083 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.055 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.069 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.078 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.080 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.081 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.082 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.038 -> buffer (12.09, 8.29) BUF_X1 cap 0.935 req 0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.060 -> buffer (12.09, 8.29) BUF_X2 cap 1.704 req 0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.073 -> buffer (12.09, 8.29) BUF_X4 cap 3.252 req 0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.078 -> buffer (12.09, 8.29) BUF_X8 cap 6.360 req 0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.080 -> buffer (12.09, 8.29) BUF_X16 cap 12.271 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.677 req 0.082 -> buffer (12.09, 8.29) BUF_X32 cap 25.711 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.151 - 0.071 = 0.080 * 0.00 = 0.080 cap 4.677 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.097 - 0.070 = 0.026 * 0.01 = 0.026 cap 4.507 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.086 - 0.070 = 0.016 * 0.01 = 0.016 cap 3.738 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.070 - 0.070 = 0.000 * 0.02 = 0.000 cap 3.695 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.069 - 0.069 = -0.000 * 0.02 = -0.000 cap 2.794 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.055 - 0.069 = -0.014 * 0.02 = -0.014 cap 2.025 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.038 - 0.068 = -0.030 * 0.01 = -0.031 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.060 - 0.069 = -0.009 * 0.01 = -0.009 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.073 - 0.070 = 0.003 * 0.01 = 0.003 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.078 - 0.072 = 0.006 * 0.01 = 0.006 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.080 - 0.075 = 0.005 * 0.01 = 0.005 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.082 - 0.083 = -0.001 * 0.01 = -0.001 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.110 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.093 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.093 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.083 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.080 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.078 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.077 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.230 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.230 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.213 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.213 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.203 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.203 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.200 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.200 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u1_8/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u1_8 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.425 worst_slack = -0.575 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.035 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.017 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.81, 6.21) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver rebuffer2/Z +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.079 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.096 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.096 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.106 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.110 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.111 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.112 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.070 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.076 -> buffer (16.27, 0.61) BUF_X2 cap 1.704 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.081 -> buffer (16.27, 0.61) BUF_X4 cap 3.252 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X8 cap 6.360 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.082 -> buffer (16.27, 0.61) BUF_X16 cap 12.271 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.083 -> buffer (16.27, 0.61) BUF_X32 cap 25.711 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.055 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.069 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.077 -> buffer (12.09, 6.21) BUF_X4 cap 3.252 req 0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.080 -> buffer (12.09, 6.21) BUF_X8 cap 6.360 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.081 -> buffer (12.09, 6.21) BUF_X16 cap 12.271 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 2.648 req 0.082 -> buffer (12.09, 6.21) BUF_X32 cap 25.711 req 0.082 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.024 -> buffer (12.09, 8.29) BUF_X1 cap 0.935 req 0.024 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.053 -> buffer (12.09, 8.29) BUF_X2 cap 1.704 req 0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.069 -> buffer (12.09, 8.29) BUF_X4 cap 3.252 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.076 -> buffer (12.09, 8.29) BUF_X8 cap 6.360 req 0.076 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.079 -> buffer (12.09, 8.29) BUF_X16 cap 12.271 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.227 req 0.081 -> buffer (12.09, 8.29) BUF_X32 cap 25.711 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.150 - 0.071 = 0.079 * 0.00 = 0.079 cap 6.227 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.106 - 0.071 = 0.035 * 0.01 = 0.034 cap 6.055 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.096 - 0.070 = 0.025 * 0.01 = 0.025 cap 4.507 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.079 - 0.070 = 0.009 * 0.01 = 0.009 cap 3.738 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req 0.070 - 0.070 = -0.000 * 0.02 = -0.000 cap 3.695 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.069 - 0.069 = -0.001 * 0.02 = -0.001 cap 2.794 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.055 - 0.069 = -0.014 * 0.02 = -0.014 cap 2.025 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.024 - 0.068 = -0.044 * 0.01 = -0.045 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.053 - 0.069 = -0.016 * 0.01 = -0.016 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.069 - 0.070 = -0.001 * 0.01 = -0.001 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.076 - 0.072 = 0.004 * 0.01 = 0.004 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.079 - 0.075 = 0.004 * 0.01 = 0.004 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.081 - 0.083 = -0.002 * 0.01 = -0.002 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.111 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.094 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.084 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.081 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.080 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.078 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.078 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.337 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.337 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.314 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.341 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.341 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.312 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.344 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.328 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.328 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.317 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.313 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.311 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.348 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.348 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.318 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.310 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.351 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.351 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.319 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.312 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.310 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.230 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.230 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.213 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.213 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.203 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.203 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.200 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.200 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.199 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.354 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.354 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.234 - 0.323 = -0.557 * 0.00 = -0.557 cap 13.981 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.234 - 0.320 = -0.554 * 0.01 = -0.559 cap 11.609 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.234 - 0.316 = -0.550 * 0.02 = -0.561 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.319 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.319 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.636 * 0.03 = -0.655 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.351 - 0.303 = -0.654 * 0.03 = -0.674 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.354 - 0.301 = -0.655 * 0.03 = -0.674 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.652 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.310 - 0.340 = -0.650 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] No change after 5 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.490 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 3 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_8/D no changes after 5 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2_9/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 16 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_9/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u1_9/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u1_9/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u1_9 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] u1/u1_9/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u1_9/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.18, 9.01) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.152 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.152 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.145 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.145 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.140 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.323 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.323 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.311 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.325 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.325 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.315 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.315 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.309 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.344 -> buffer (7.18, 9.01) BUF_X1 cap 0.935 req -0.344 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.327 -> buffer (7.18, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.316 -> buffer (7.18, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.312 -> buffer (7.18, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.310 -> buffer (7.18, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.274 req -0.309 -> buffer (7.18, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.349 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.349 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.330 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.330 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.319 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.319 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.313 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.022 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.353 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.332 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.320 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.314 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 9.070 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.228 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.228 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.198 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.334 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.334 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.321 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.321 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.315 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.315 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.312 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.312 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.310 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.310 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.321 = -0.554 * 0.00 = -0.554 cap 12.434 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.317 = -0.550 * 0.01 = -0.556 cap 10.062 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.317 = -0.550 * 0.02 = -0.561 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.314 - 0.317 = -0.631 * 0.02 = -0.643 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.320 - 0.312 = -0.631 * 0.02 = -0.644 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.320 - 0.308 = -0.627 * 0.03 = -0.646 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.332 - 0.305 = -0.637 * 0.03 = -0.656 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.303 = -0.655 * 0.03 = -0.675 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.334 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.321 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.315 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.312 - 0.321 = -0.633 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.310 - 0.340 = -0.649 * 0.03 = -0.669 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] No change after 1 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.287 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r2_9/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r3/D slack = -0.502 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 17 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] split4/Z load_delay = 0.088 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] split4/Z BUF_X1 fanout = 6 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize split4/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace split4 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.450 worst_slack = -0.567 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] split4/Z load_delay = 0.050 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.171 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.171 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.142 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.142 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.126 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.119 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.116 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.113 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.200 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.200 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.156 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.133 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.123 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.114 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.044 - 0.089 = -0.133 * 0.00 = -0.133 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.126 - 0.077 = -0.202 * 0.02 = -0.206 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.142 - 0.073 = -0.215 * 0.02 = -0.219 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.171 - 0.071 = -0.243 * 0.02 = -0.248 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.200 - 0.069 = -0.269 * 0.01 = -0.272 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.156 - 0.071 = -0.227 * 0.01 = -0.229 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.133 - 0.074 = -0.207 * 0.01 = -0.210 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.123 - 0.082 = -0.204 * 0.01 = -0.206 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.118 - 0.095 = -0.212 * 0.01 = -0.214 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.114 - 0.123 = -0.238 * 0.01 = -0.240 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split4/Z BUF_X2 fanout = 6 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize split4/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace split4 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.424 worst_slack = -0.570 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] split4/Z load_delay = 0.032 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.174 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.174 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.144 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.144 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.128 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.121 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.118 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.116 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.202 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.202 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.159 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.136 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.136 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.125 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.125 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.120 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.120 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.117 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.047 - 0.089 = -0.136 * 0.00 = -0.136 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.128 - 0.077 = -0.205 * 0.02 = -0.209 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.144 - 0.073 = -0.218 * 0.02 = -0.222 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.174 - 0.071 = -0.245 * 0.02 = -0.250 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.202 - 0.069 = -0.271 * 0.01 = -0.274 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.159 - 0.071 = -0.230 * 0.01 = -0.232 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.136 - 0.074 = -0.210 * 0.01 = -0.212 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.125 - 0.082 = -0.207 * 0.01 = -0.209 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.120 - 0.095 = -0.215 * 0.01 = -0.217 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.117 - 0.123 = -0.240 * 0.01 = -0.243 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split4/Z BUF_X4 fanout = 6 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize split4/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace split4 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.417 worst_slack = -0.575 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.032 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] split4/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.179 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.179 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.150 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.133 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.133 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.126 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.126 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.123 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.123 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.121 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.208 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.208 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.164 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.164 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.141 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.130 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.130 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.125 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.125 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.122 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.122 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.052 - 0.089 = -0.141 * 0.00 = -0.141 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.133 - 0.077 = -0.210 * 0.02 = -0.214 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.150 - 0.073 = -0.223 * 0.02 = -0.227 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.179 - 0.071 = -0.250 * 0.02 = -0.255 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.208 - 0.069 = -0.277 * 0.01 = -0.279 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.164 - 0.071 = -0.235 * 0.01 = -0.237 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.141 - 0.074 = -0.215 * 0.01 = -0.217 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.130 - 0.082 = -0.212 * 0.01 = -0.214 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.125 - 0.095 = -0.220 * 0.01 = -0.222 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.122 - 0.123 = -0.245 * 0.01 = -0.248 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load split4/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.067 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.067 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.061 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.056 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.055 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.055 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.055 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.177 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.177 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.116 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.085 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.085 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.070 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.063 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.756 req -0.058 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.013 - 0.083 = -0.070 * 0.00 = -0.070 cap 13.756 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.067 - 0.083 = -0.150 * 0.01 = -0.152 cap 13.709 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.177 - 0.068 = -0.245 * 0.01 = -0.248 cap 0.935 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.116 - 0.069 = -0.186 * 0.01 = -0.187 cap 1.704 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.085 - 0.071 = -0.156 * 0.01 = -0.157 cap 3.252 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.070 - 0.075 = -0.145 * 0.01 = -0.146 cap 6.360 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.063 - 0.082 = -0.144 * 0.01 = -0.146 cap 12.271 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.058 - 0.096 = -0.155 * 0.01 = -0.156 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.150 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.143 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.137 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.236 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.236 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.220 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.220 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.210 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.210 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.206 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.206 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.205 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.205 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.204 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.204 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.355 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.355 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.333 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.333 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.320 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.320 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 10.007 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.317 = -0.550 * 0.01 = -0.556 cap 10.062 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.317 = -0.550 * 0.02 = -0.561 cap 10.007 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.313 - 0.317 = -0.630 * 0.02 = -0.642 cap 9.669 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.355 - 0.301 = -0.656 * 0.03 = -0.676 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.333 - 0.303 = -0.636 * 0.03 = -0.655 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.320 - 0.306 = -0.626 * 0.03 = -0.645 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.314 - 0.311 = -0.626 * 0.03 = -0.645 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split4/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r10/D (4.12, 7.78) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r9/D (0.89, 10.59) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r11/D (7.35, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r12/D (10.58, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r8/D (13.81, 0.61) cap 1.158 req INF +[DEBUG RSZ-rebuffer] driver split4/Z +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.108 -> buffer (7.35, 7.78) BUF_X1 cap 0.935 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.134 -> buffer (7.35, 7.78) BUF_X2 cap 1.704 req 0.134 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.148 -> buffer (7.35, 7.78) BUF_X4 cap 3.252 req 0.148 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.154 -> buffer (7.35, 7.78) BUF_X8 cap 6.360 req 0.154 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.157 -> buffer (7.35, 7.78) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.159 -> buffer (7.35, 7.78) BUF_X32 cap 25.711 req 0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.079 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.119 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.119 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.141 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.150 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.155 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.867 req 0.158 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.228 - 0.078 = 0.150 * 0.00 = 0.150 cap 8.867 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.148 - 0.075 = 0.073 * 0.01 = 0.073 cap 6.658 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.134 - 0.073 = 0.061 * 0.01 = 0.060 cap 5.110 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.108 - 0.072 = 0.036 * 0.01 = 0.036 cap 4.340 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req 0.079 - 0.068 = 0.011 * 0.01 = 0.011 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req 0.119 - 0.069 = 0.050 * 0.01 = 0.049 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req 0.141 - 0.071 = 0.070 * 0.01 = 0.069 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req 0.150 - 0.075 = 0.076 * 0.01 = 0.075 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req 0.155 - 0.082 = 0.073 * 0.01 = 0.073 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req 0.158 - 0.096 = 0.061 * 0.01 = 0.061 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r10/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r9/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r3/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r11/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r12/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r8/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 7 +[DEBUG RSZ-repair_setup] No change after 3 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.502 worst slack -0.566 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r3/D no changes after 3 decreasing passes +[DEBUG RSZ-repair_setup] u1/r4/D slack = -0.417 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 18 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.028 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.199 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.199 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.199 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.155 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.143 -> buffer (13.03, 3.41) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.150 -> buffer (13.03, 3.41) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.156 -> buffer (13.03, 3.41) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.158 -> buffer (13.03, 3.41) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.066 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.089 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.101 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.106 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.109 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.110 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.056 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.083 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.099 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.105 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.108 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.110 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.079 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.095 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.105 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.108 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.110 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.028 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.069 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.091 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.101 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.106 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.109 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req -0.003 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.054 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.083 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.097 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.104 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.108 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req -0.018 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.046 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.080 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.095 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.103 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.107 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.179 - 0.084 = 0.095 * 0.00 = 0.095 cap 14.567 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.143 - 0.084 = 0.059 * 0.01 = 0.058 cap 14.242 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.140 - 0.084 = 0.057 * 0.01 = 0.056 cap 13.965 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.140 - 0.083 = 0.057 * 0.02 = 0.056 cap 13.640 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.105 - 0.083 = 0.022 * 0.03 = 0.021 cap 13.612 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.104 - 0.083 = 0.021 * 0.02 = 0.021 cap 13.420 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.101 - 0.080 = 0.021 * 0.02 = 0.020 cap 11.106 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.097 - 0.076 = 0.021 * 0.02 = 0.020 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.083 - 0.072 = 0.011 * 0.02 = 0.011 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.054 - 0.071 = -0.017 * 0.02 = -0.017 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.003 - 0.070 = -0.073 * 0.01 = -0.074 cap 2.686 +[DEBUG RSZ-rebuffer] option 12: 2 buffers req -0.003 - 0.070 = -0.073 * 0.02 = -0.074 cap 2.084 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.018 - 0.068 = -0.086 * 0.01 = -0.087 cap 0.935 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.046 - 0.069 = -0.023 * 0.01 = -0.023 cap 1.704 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.008 cap 3.252 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.075 = 0.020 * 0.01 = 0.020 cap 6.360 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.103 - 0.082 = 0.021 * 0.01 = 0.021 cap 12.271 +[DEBUG RSZ-rebuffer] option 18: 1 buffers req 0.107 - 0.096 = 0.011 * 0.01 = 0.011 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.150 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.143 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.137 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.065 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.058 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.052 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.073 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.062 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.055 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.054 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.053 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.052 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.128 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.090 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.070 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.061 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.057 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.054 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.016 - 0.077 = -0.061 * 0.00 = -0.061 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.062 - 0.077 = -0.139 * 0.01 = -0.140 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.073 - 0.076 = -0.149 * 0.01 = -0.150 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.128 - 0.068 = -0.197 * 0.01 = -0.198 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.090 - 0.069 = -0.160 * 0.01 = -0.161 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.070 - 0.071 = -0.141 * 0.01 = -0.143 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.061 - 0.075 = -0.136 * 0.01 = -0.137 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.057 - 0.082 = -0.138 * 0.01 = -0.140 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.054 - 0.096 = -0.150 * 0.01 = -0.152 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-repair_setup] bailing out u1/r4/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r5/D slack = -0.417 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 19 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.028 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.170 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.170 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.141 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.141 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.124 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.124 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.117 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.117 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.114 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.112 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.112 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.198 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.198 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.155 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.132 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.132 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.121 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.121 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.116 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.113 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.113 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.043 - 0.089 = -0.132 * 0.00 = -0.132 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.080 - 0.089 = -0.169 * 0.01 = -0.171 cap 9.673 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.090 - 0.086 = -0.176 * 0.01 = -0.177 cap 8.125 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.107 - 0.084 = -0.190 * 0.01 = -0.192 cap 7.356 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.124 - 0.077 = -0.201 * 0.02 = -0.205 cap 4.226 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.141 - 0.073 = -0.214 * 0.02 = -0.218 cap 2.678 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.170 - 0.071 = -0.241 * 0.02 = -0.246 cap 1.909 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.198 - 0.069 = -0.268 * 0.01 = -0.270 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.155 - 0.071 = -0.226 * 0.01 = -0.228 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.132 - 0.074 = -0.206 * 0.01 = -0.208 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.121 - 0.082 = -0.203 * 0.01 = -0.205 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.116 - 0.095 = -0.211 * 0.01 = -0.213 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.113 - 0.123 = -0.236 * 0.01 = -0.239 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.155 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.143 -> buffer (13.03, 3.41) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.150 -> buffer (13.03, 3.41) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.156 -> buffer (13.03, 3.41) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.158 -> buffer (13.03, 3.41) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.066 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.089 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.101 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.106 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.109 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.110 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.056 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.083 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.099 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.105 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.108 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.110 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.079 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.095 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.105 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.108 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.110 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.028 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.069 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.091 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.101 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.106 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.109 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req -0.003 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.054 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.083 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.097 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.104 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.108 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req -0.018 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.046 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.080 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.095 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.103 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.107 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.179 - 0.084 = 0.095 * 0.00 = 0.095 cap 14.567 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.143 - 0.084 = 0.059 * 0.01 = 0.058 cap 14.242 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.140 - 0.084 = 0.057 * 0.01 = 0.056 cap 13.965 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.140 - 0.083 = 0.057 * 0.02 = 0.056 cap 13.640 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.105 - 0.083 = 0.022 * 0.03 = 0.021 cap 13.612 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.104 - 0.083 = 0.021 * 0.02 = 0.021 cap 13.420 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.101 - 0.080 = 0.021 * 0.02 = 0.020 cap 11.106 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.097 - 0.076 = 0.021 * 0.02 = 0.020 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.083 - 0.072 = 0.011 * 0.02 = 0.011 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.054 - 0.071 = -0.017 * 0.02 = -0.017 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.003 - 0.070 = -0.073 * 0.01 = -0.074 cap 2.686 +[DEBUG RSZ-rebuffer] option 12: 2 buffers req -0.003 - 0.070 = -0.073 * 0.02 = -0.074 cap 2.084 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.018 - 0.068 = -0.086 * 0.01 = -0.087 cap 0.935 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.046 - 0.069 = -0.023 * 0.01 = -0.023 cap 1.704 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.008 cap 3.252 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.075 = 0.020 * 0.01 = 0.020 cap 6.360 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.103 - 0.082 = 0.021 * 0.01 = 0.021 cap 12.271 +[DEBUG RSZ-rebuffer] option 18: 1 buffers req 0.107 - 0.096 = 0.011 * 0.01 = 0.011 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.150 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.143 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.137 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.227 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.227 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.211 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.211 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.201 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.201 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.197 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.197 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.196 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.196 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.195 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.195 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.31, 12.42) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.065 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.058 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.053 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.981 req -0.052 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.073 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.073 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.062 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.062 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.055 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.054 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.053 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.916 req -0.052 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req -0.052 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.128 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.128 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.090 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.070 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.061 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.061 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.057 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req -0.057 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 8.330 req -0.054 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req -0.054 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.016 - 0.077 = -0.061 * 0.00 = -0.061 cap 8.330 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.062 - 0.077 = -0.139 * 0.01 = -0.140 cap 8.118 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.073 - 0.076 = -0.149 * 0.01 = -0.150 cap 7.349 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.128 - 0.068 = -0.197 * 0.01 = -0.198 cap 0.935 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.090 - 0.069 = -0.160 * 0.01 = -0.161 cap 1.704 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.070 - 0.071 = -0.141 * 0.01 = -0.143 cap 3.252 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.061 - 0.075 = -0.136 * 0.01 = -0.137 cap 6.360 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.057 - 0.082 = -0.138 * 0.01 = -0.140 cap 12.271 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.054 - 0.096 = -0.150 * 0.01 = -0.152 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-repair_setup] bailing out u1/r5/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r6/D slack = -0.566 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] Doing 20 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.134 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] rebuffer6/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer6/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace rebuffer6 (BUF_X1) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 1 slack = -0.483 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.074 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer6/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace rebuffer6 (BUF_X2) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 2 slack = -0.441 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.044 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.111 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.081 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.065 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.055 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.053 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.058 - 0.089 = -0.147 * 0.01 = -0.148 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.065 - 0.082 = -0.147 * 0.01 = -0.148 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.081 - 0.079 = -0.160 * 0.01 = -0.161 cap 5.041 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.081 - 0.078 = -0.160 * 0.02 = -0.163 cap 4.995 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.111 - 0.071 = -0.182 * 0.02 = -0.186 cap 1.909 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer6/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer6/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer6 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 3 slack = -0.425 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.032 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.116 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.086 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.070 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.063 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.060 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.063 - 0.089 = -0.152 * 0.01 = -0.154 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.070 - 0.082 = -0.152 * 0.01 = -0.154 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.080 - 0.082 = -0.162 * 0.02 = -0.165 cap 6.543 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.086 - 0.079 = -0.165 * 0.01 = -0.167 cap 5.041 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.086 - 0.078 = -0.165 * 0.02 = -0.168 cap 4.995 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.116 - 0.071 = -0.187 * 0.02 = -0.191 cap 1.909 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.30, 12.42) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.035 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.065 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.081 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.088 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.091 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.094 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req -0.003 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.003 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.007 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.008 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.008 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.009 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.054 req -0.085 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.085 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.039 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.039 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.014 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.014 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.003 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.003 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req 0.003 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.003 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req 0.006 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.006 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req 0.077 - 0.080 = -0.003 * 0.01 = -0.003 cap 10.602 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.065 - 0.078 = -0.013 * 0.01 = -0.013 cap 9.054 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.035 - 0.077 = -0.042 * 0.01 = -0.042 cap 8.284 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.003 - 0.077 = -0.080 * 0.02 = -0.082 cap 8.284 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.085 - 0.068 = -0.154 * 0.02 = -0.157 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.039 - 0.069 = -0.109 * 0.02 = -0.111 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.014 - 0.071 = -0.085 * 0.02 = -0.087 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.003 - 0.075 = -0.078 * 0.02 = -0.079 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.003 - 0.082 = -0.079 * 0.02 = -0.081 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.006 - 0.096 = -0.090 * 0.02 = -0.092 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net66 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 16591, 23613 +[DEBUG RSZ-journal] journal make_buffer rebuffer11 +[DEBUG RSZ-rebuffer] insert net1 -> rebuffer11 (BUF_X4) -> net66 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 283 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 284 to net 33 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer6/A to net1 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 263 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 263 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 263 to net 33 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] rebuffer split1/Z inserted 1 +[DEBUG RSZ-repair_setup] rebuffer split1/Z inserted 1 +[DEBUG RSZ-repair_setup] pass 4 slack = -0.480 worst_slack = -0.529 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer11/Z load_delay = 0.027 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.111 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.081 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.065 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.055 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.053 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.058 - 0.089 = -0.147 * 0.01 = -0.148 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.065 - 0.082 = -0.147 * 0.01 = -0.148 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.081 - 0.079 = -0.160 * 0.01 = -0.161 cap 5.041 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.081 - 0.078 = -0.160 * 0.02 = -0.163 cap 4.995 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.111 - 0.071 = -0.182 * 0.02 = -0.186 cap 1.909 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer6/Z BUF_X8 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer11/A (8.41, 12.42) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.004 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.020 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.030 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.034 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.034 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.035 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.036 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req -0.031 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req -0.011 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.001 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.006 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.006 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.008 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.009 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.086 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.038 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.013 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.002 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req 0.004 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req 0.007 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.078 - 0.080 = -0.002 * 0.00 = -0.002 cap 10.656 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.030 - 0.080 = -0.049 * 0.01 = -0.050 cap 10.602 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.020 - 0.078 = -0.058 * 0.01 = -0.058 cap 9.054 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.004 - 0.077 = -0.073 * 0.01 = -0.074 cap 8.284 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.011 - 0.077 = -0.087 * 0.01 = -0.088 cap 8.118 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.031 - 0.076 = -0.107 * 0.01 = -0.108 cap 7.349 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.086 - 0.068 = -0.154 * 0.01 = -0.156 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.038 - 0.069 = -0.107 * 0.01 = -0.109 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.013 - 0.071 = -0.084 * 0.01 = -0.085 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.002 - 0.075 = -0.076 * 0.01 = -0.077 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.004 - 0.082 = -0.078 * 0.01 = -0.078 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.007 - 0.096 = -0.089 * 0.01 = -0.090 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer11/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize rebuffer11/Z BUF_X4 -> BUF_X8 +[DEBUG RSZ-journal] journal replace rebuffer11 (BUF_X4) +[DEBUG ODB-DB_ECO] ECO: swapMaster +[DEBUG RSZ-repair_setup] pass 5 slack = -0.475 worst_slack = -0.529 +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.032 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer11/Z load_delay = 0.021 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer6/Z load_delay = 0.026 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.116 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.086 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.070 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.063 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.060 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.063 - 0.089 = -0.152 * 0.01 = -0.154 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.070 - 0.082 = -0.152 * 0.01 = -0.154 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.080 - 0.082 = -0.162 * 0.02 = -0.165 cap 6.543 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.086 - 0.079 = -0.165 * 0.01 = -0.167 cap 5.041 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.086 - 0.078 = -0.165 * 0.02 = -0.168 cap 4.995 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.116 - 0.071 = -0.187 * 0.02 = -0.191 cap 1.909 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer11/A (8.41, 12.42) cap 6.635 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 6.414 req -0.015 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req -0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.414 req 0.015 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.015 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.414 req 0.031 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.414 req 0.038 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.414 req 0.041 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.041 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.414 req 0.044 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.044 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req -0.059 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.059 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req -0.026 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.026 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req -0.007 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req 0.001 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req 0.004 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 7.349 req 0.007 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req -0.114 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.114 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req -0.053 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req -0.021 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.021 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req -0.007 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req 0.001 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 13.764 req 0.005 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.005 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.077 - 0.083 = -0.007 * 0.00 = -0.007 cap 13.764 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.038 - 0.083 = -0.045 * 0.01 = -0.046 cap 13.710 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.031 - 0.080 = -0.048 * 0.01 = -0.049 cap 10.602 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.015 - 0.078 = -0.063 * 0.01 = -0.064 cap 9.054 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.015 - 0.077 = -0.092 * 0.01 = -0.093 cap 8.284 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.026 - 0.077 = -0.102 * 0.01 = -0.103 cap 8.118 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.059 - 0.076 = -0.135 * 0.01 = -0.136 cap 7.349 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.114 - 0.068 = -0.182 * 0.01 = -0.184 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.053 - 0.069 = -0.122 * 0.01 = -0.123 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.021 - 0.071 = -0.092 * 0.01 = -0.093 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.007 - 0.075 = -0.081 * 0.01 = -0.082 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.001 - 0.082 = -0.081 * 0.01 = -0.082 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req 0.005 - 0.096 = -0.091 * 0.01 = -0.092 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.150 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.143 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.137 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.174 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.174 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.164 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.164 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.160 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.160 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.159 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.157 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer6/Z BUF_X8 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] rebuffer11/Z BUF_X8 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] No change after 2 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.425 worst slack -0.529 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 1 sizing 1 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r6/D no changes after 2 decreasing passes +[DEBUG RSZ-repair_setup] u1/r7/D slack = -0.425 worst_slack = -0.529 +[DEBUG RSZ-repair_setup] Doing 21 /21 +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.032 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.030 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.116 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.116 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.086 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.070 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.070 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.063 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.063 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.060 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.060 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.063 - 0.089 = -0.152 * 0.01 = -0.154 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.070 - 0.082 = -0.152 * 0.01 = -0.154 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.080 - 0.082 = -0.162 * 0.02 = -0.165 cap 6.543 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.086 - 0.079 = -0.165 * 0.01 = -0.167 cap 5.041 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.086 - 0.078 = -0.165 * 0.02 = -0.168 cap 4.995 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req -0.116 - 0.071 = -0.187 * 0.02 = -0.191 cap 1.909 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer6/A (8.30, 12.42) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.035 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.065 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.081 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.088 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.088 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.091 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.406 req 0.094 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.094 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req -0.003 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.003 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req 0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.007 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.008 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.008 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.935 req 0.009 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.054 req -0.085 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.085 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.039 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.039 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.014 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.014 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req -0.003 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.003 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req 0.003 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.003 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 10.602 req 0.006 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.006 buffers 2 +[DEBUG RSZ-rebuffer] option 1: 1 buffers req 0.077 - 0.080 = -0.003 * 0.01 = -0.003 cap 10.602 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.065 - 0.078 = -0.013 * 0.01 = -0.013 cap 9.054 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.035 - 0.077 = -0.042 * 0.01 = -0.042 cap 8.284 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.003 - 0.077 = -0.080 * 0.02 = -0.082 cap 8.284 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.085 - 0.068 = -0.154 * 0.02 = -0.157 cap 0.935 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.039 - 0.069 = -0.109 * 0.02 = -0.111 cap 1.704 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.014 - 0.071 = -0.085 * 0.02 = -0.087 cap 3.252 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.003 - 0.075 = -0.078 * 0.02 = -0.079 cap 6.360 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.003 - 0.082 = -0.079 * 0.02 = -0.081 cap 12.271 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.006 - 0.096 = -0.090 * 0.02 = -0.092 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] junction +[DEBUG ODB-DB_ECO] ECO: create net, name net67 +[DEBUG ODB-DB_ECO] ECO: dbInst:create +[DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 +[DEBUG ODB-DB_ECO] ECO: setOrigin 16591, 23613 +[DEBUG RSZ-journal] journal make_buffer rebuffer12 +[DEBUG RSZ-rebuffer] insert net1 -> rebuffer12 (BUF_X4) -> net67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 283 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 284 to net 33 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load rebuffer6/A to net1 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 263 +[DEBUG ODB-DB_ECO] ECO: disconnect modnet from Iterm 263 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 263 to net 33 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] rebuffer split1/Z inserted 1 +[DEBUG RSZ-repair_setup] rebuffer split1/Z inserted 1 +[DEBUG RSZ-repair_setup] pass 1 slack = -0.420 worst_slack = -0.529 save +[DEBUG RSZ-journal] journal end +[DEBUG RSZ-journal] journal begin +[DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 +[DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] split1/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 +[DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer7/Z +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.111 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.081 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.081 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.065 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.065 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.058 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.058 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.055 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.055 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 6.382 req -0.053 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.053 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.107 -> buffer (6.90, 11.19) BUF_X1 cap 0.935 req -0.107 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.090 -> buffer (6.90, 11.19) BUF_X2 cap 1.704 req -0.090 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.080 -> buffer (6.90, 11.19) BUF_X4 cap 3.252 req -0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.077 -> buffer (6.90, 11.19) BUF_X8 cap 6.360 req -0.077 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.075 -> buffer (6.90, 11.19) BUF_X16 cap 12.271 req -0.075 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.298 req -0.074 -> buffer (6.90, 11.19) BUF_X32 cap 25.711 req -0.074 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.161 -> buffer (7.43, 11.19) BUF_X1 cap 0.935 req -0.161 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.118 -> buffer (7.43, 11.19) BUF_X2 cap 1.704 req -0.118 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.095 -> buffer (7.43, 11.19) BUF_X4 cap 3.252 req -0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.084 -> buffer (7.43, 11.19) BUF_X8 cap 6.360 req -0.084 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.079 -> buffer (7.43, 11.19) BUF_X16 cap 12.271 req -0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.719 req -0.076 -> buffer (7.43, 11.19) BUF_X32 cap 25.711 req -0.076 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.006 - 0.089 = -0.095 * 0.00 = -0.095 cap 9.719 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.058 - 0.089 = -0.147 * 0.01 = -0.148 cap 9.697 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req -0.065 - 0.082 = -0.147 * 0.01 = -0.148 cap 6.589 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req -0.081 - 0.079 = -0.160 * 0.01 = -0.161 cap 5.041 +[DEBUG RSZ-rebuffer] option 5: 2 buffers req -0.081 - 0.078 = -0.160 * 0.02 = -0.163 cap 4.995 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req -0.090 - 0.075 = -0.165 * 0.02 = -0.168 cap 3.447 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req -0.107 - 0.073 = -0.180 * 0.02 = -0.183 cap 2.678 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req -0.111 - 0.071 = -0.182 * 0.02 = -0.186 cap 1.909 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.161 - 0.069 = -0.230 * 0.01 = -0.233 cap 0.935 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.118 - 0.071 = -0.189 * 0.01 = -0.191 cap 1.704 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.095 - 0.074 = -0.169 * 0.01 = -0.171 cap 3.252 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req -0.084 - 0.082 = -0.166 * 0.01 = -0.167 cap 6.360 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.079 - 0.095 = -0.174 * 0.01 = -0.175 cap 12.271 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req -0.076 - 0.123 = -0.199 * 0.01 = -0.201 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 +[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver rebuffer5/Z +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.155 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.155 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.156 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.157 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.158 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.143 -> buffer (13.03, 3.41) BUF_X1 cap 0.935 req 0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.150 -> buffer (13.03, 3.41) BUF_X2 cap 1.704 req 0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.156 -> buffer (13.03, 3.41) BUF_X4 cap 3.252 req 0.156 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X8 cap 6.360 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.157 -> buffer (13.03, 3.41) BUF_X16 cap 12.271 req 0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 1.260 req 0.158 -> buffer (13.03, 3.41) BUF_X32 cap 25.711 req 0.158 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.066 -> buffer (10.58, 3.41) BUF_X1 cap 0.935 req 0.066 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.089 -> buffer (10.58, 3.41) BUF_X2 cap 1.704 req 0.089 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.101 -> buffer (10.58, 3.41) BUF_X4 cap 3.252 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.106 -> buffer (10.58, 3.41) BUF_X8 cap 6.360 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.109 -> buffer (10.58, 3.41) BUF_X16 cap 12.271 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.696 req 0.110 -> buffer (10.58, 3.41) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.056 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.056 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.083 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.099 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.099 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.105 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.108 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 5.924 req 0.110 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.079 -> buffer (10.58, 4.99) BUF_X1 cap 0.935 req 0.079 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.095 -> buffer (10.58, 4.99) BUF_X2 cap 1.704 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.105 -> buffer (10.58, 4.99) BUF_X4 cap 3.252 req 0.105 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.108 -> buffer (10.58, 4.99) BUF_X8 cap 6.360 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.110 -> buffer (10.58, 4.99) BUF_X16 cap 12.271 req 0.110 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.279 req 0.111 -> buffer (10.58, 4.99) BUF_X32 cap 25.711 req 0.111 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.028 -> buffer (10.38, 4.99) BUF_X1 cap 0.935 req 0.028 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.069 -> buffer (10.38, 4.99) BUF_X2 cap 1.704 req 0.069 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.091 -> buffer (10.38, 4.99) BUF_X4 cap 3.252 req 0.091 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.101 -> buffer (10.38, 4.99) BUF_X8 cap 6.360 req 0.101 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.106 -> buffer (10.38, 4.99) BUF_X16 cap 12.271 req 0.106 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.218 req 0.109 -> buffer (10.38, 4.99) BUF_X32 cap 25.711 req 0.109 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req -0.003 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req -0.003 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.054 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.054 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.083 -> buffer (9.81, 9.01) BUF_X4 cap 3.252 req 0.083 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.097 -> buffer (9.81, 9.01) BUF_X8 cap 6.360 req 0.097 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.104 -> buffer (9.81, 9.01) BUF_X16 cap 12.271 req 0.104 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 12.816 req 0.108 -> buffer (9.81, 9.01) BUF_X32 cap 25.711 req 0.108 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req -0.018 -> buffer (9.81, 11.87) BUF_X1 cap 0.935 req -0.018 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.046 -> buffer (9.81, 11.87) BUF_X2 cap 1.704 req 0.046 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.080 -> buffer (9.81, 11.87) BUF_X4 cap 3.252 req 0.080 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.095 -> buffer (9.81, 11.87) BUF_X8 cap 6.360 req 0.095 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.103 -> buffer (9.81, 11.87) BUF_X16 cap 12.271 req 0.103 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 14.567 req 0.107 -> buffer (9.81, 11.87) BUF_X32 cap 25.711 req 0.107 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.179 - 0.084 = 0.095 * 0.00 = 0.095 cap 14.567 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.143 - 0.084 = 0.059 * 0.01 = 0.058 cap 14.242 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.140 - 0.084 = 0.057 * 0.01 = 0.056 cap 13.965 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req 0.140 - 0.083 = 0.057 * 0.02 = 0.056 cap 13.640 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req 0.105 - 0.083 = 0.022 * 0.03 = 0.021 cap 13.612 +[DEBUG RSZ-rebuffer] option 6: 2 buffers req 0.104 - 0.083 = 0.021 * 0.02 = 0.021 cap 13.420 +[DEBUG RSZ-rebuffer] option 7: 2 buffers req 0.101 - 0.080 = 0.021 * 0.02 = 0.020 cap 11.106 +[DEBUG RSZ-rebuffer] option 8: 2 buffers req 0.097 - 0.076 = 0.021 * 0.02 = 0.020 cap 7.509 +[DEBUG RSZ-rebuffer] option 9: 2 buffers req 0.083 - 0.072 = 0.011 * 0.02 = 0.011 cap 4.401 +[DEBUG RSZ-rebuffer] option 10: 2 buffers req 0.054 - 0.071 = -0.017 * 0.02 = -0.017 cap 2.853 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req -0.003 - 0.070 = -0.073 * 0.01 = -0.074 cap 2.686 +[DEBUG RSZ-rebuffer] option 12: 2 buffers req -0.003 - 0.070 = -0.073 * 0.02 = -0.074 cap 2.084 +[DEBUG RSZ-rebuffer] option 13: 1 buffers req -0.018 - 0.068 = -0.086 * 0.01 = -0.087 cap 0.935 +[DEBUG RSZ-rebuffer] option 14: 1 buffers req 0.046 - 0.069 = -0.023 * 0.01 = -0.023 cap 1.704 +[DEBUG RSZ-rebuffer] option 15: 1 buffers req 0.080 - 0.071 = 0.009 * 0.01 = 0.008 cap 3.252 +[DEBUG RSZ-rebuffer] option 16: 1 buffers req 0.095 - 0.075 = 0.020 * 0.01 = 0.020 cap 6.360 +[DEBUG RSZ-rebuffer] option 17: 1 buffers req 0.103 - 0.082 = 0.021 * 0.01 = 0.021 cap 12.271 +[DEBUG RSZ-rebuffer] option 18: 1 buffers req 0.107 - 0.096 = 0.011 * 0.01 = 0.011 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 +[DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 3 drvr_index = 6 +[DEBUG RSZ-make_buffered_net] load rebuffer5/A (8.30, 11.81) cap 6.635 req INF +[DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer12/A (8.41, 12.42) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver split1/Z +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.004 -> buffer (8.31, 11.81) BUF_X1 cap 0.935 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.020 -> buffer (8.31, 11.81) BUF_X2 cap 1.704 req 0.020 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.030 -> buffer (8.31, 11.81) BUF_X4 cap 3.252 req 0.030 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.034 -> buffer (8.31, 11.81) BUF_X8 cap 6.360 req 0.034 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.035 -> buffer (8.31, 11.81) BUF_X16 cap 12.271 req 0.035 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.306 req 0.036 -> buffer (8.31, 11.81) BUF_X32 cap 25.711 req 0.036 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req -0.031 -> buffer (8.30, 11.81) BUF_X1 cap 0.935 req -0.031 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req -0.011 -> buffer (8.30, 11.81) BUF_X2 cap 1.704 req -0.011 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.001 -> buffer (8.30, 11.81) BUF_X4 cap 3.252 req 0.001 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.006 -> buffer (8.30, 11.81) BUF_X8 cap 6.360 req 0.006 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.008 -> buffer (8.30, 11.81) BUF_X16 cap 12.271 req 0.008 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.241 req 0.009 -> buffer (8.30, 11.81) BUF_X32 cap 25.711 req 0.009 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.086 -> buffer (8.18, 11.19) BUF_X1 cap 0.935 req -0.086 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.038 -> buffer (8.18, 11.19) BUF_X2 cap 1.704 req -0.038 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.013 -> buffer (8.18, 11.19) BUF_X4 cap 3.252 req -0.013 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req -0.002 -> buffer (8.18, 11.19) BUF_X8 cap 6.360 req -0.002 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req 0.004 -> buffer (8.18, 11.19) BUF_X16 cap 12.271 req 0.004 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 10.656 req 0.007 -> buffer (8.18, 11.19) BUF_X32 cap 25.711 req 0.007 buffers 1 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req 0.078 - 0.080 = -0.002 * 0.00 = -0.002 cap 10.656 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req 0.030 - 0.080 = -0.049 * 0.01 = -0.050 cap 10.602 +[DEBUG RSZ-rebuffer] option 3: 1 buffers req 0.020 - 0.078 = -0.058 * 0.01 = -0.058 cap 9.054 +[DEBUG RSZ-rebuffer] option 4: 1 buffers req 0.004 - 0.077 = -0.073 * 0.01 = -0.074 cap 8.284 +[DEBUG RSZ-rebuffer] option 5: 1 buffers req -0.011 - 0.077 = -0.087 * 0.01 = -0.088 cap 8.118 +[DEBUG RSZ-rebuffer] option 6: 1 buffers req -0.031 - 0.076 = -0.107 * 0.01 = -0.108 cap 7.349 +[DEBUG RSZ-rebuffer] option 7: 1 buffers req -0.086 - 0.068 = -0.154 * 0.01 = -0.156 cap 0.935 +[DEBUG RSZ-rebuffer] option 8: 1 buffers req -0.038 - 0.069 = -0.107 * 0.01 = -0.109 cap 1.704 +[DEBUG RSZ-rebuffer] option 9: 1 buffers req -0.013 - 0.071 = -0.084 * 0.01 = -0.085 cap 3.252 +[DEBUG RSZ-rebuffer] option 10: 1 buffers req -0.002 - 0.075 = -0.076 * 0.01 = -0.077 cap 6.360 +[DEBUG RSZ-rebuffer] option 11: 1 buffers req 0.004 - 0.082 = -0.078 * 0.01 = -0.078 cap 12.271 +[DEBUG RSZ-rebuffer] option 12: 1 buffers req 0.007 - 0.096 = -0.089 * 0.01 = -0.090 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 +[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF +[DEBUG RSZ-rebuffer] driver r1/Q +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.150 -> buffer (8.85, 7.79) BUF_X1 cap 0.935 req -0.150 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.143 -> buffer (8.85, 7.79) BUF_X2 cap 1.704 req -0.143 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.139 -> buffer (8.85, 7.79) BUF_X4 cap 3.252 req -0.139 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X8 cap 6.360 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.138 -> buffer (8.85, 7.79) BUF_X16 cap 12.271 req -0.138 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 0.990 req -0.137 -> buffer (8.85, 7.79) BUF_X32 cap 25.711 req -0.137 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.336 -> buffer (8.30, 7.79) BUF_X1 cap 0.935 req -0.336 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.322 -> buffer (8.30, 7.79) BUF_X2 cap 1.704 req -0.322 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.313 -> buffer (8.30, 7.79) BUF_X4 cap 3.252 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.310 -> buffer (8.30, 7.79) BUF_X8 cap 6.360 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.309 -> buffer (8.30, 7.79) BUF_X16 cap 12.271 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 4.229 req -0.308 -> buffer (8.30, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.340 -> buffer (7.72, 7.79) BUF_X1 cap 0.935 req -0.340 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.324 -> buffer (7.72, 7.79) BUF_X2 cap 1.704 req -0.324 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.314 -> buffer (7.72, 7.79) BUF_X4 cap 3.252 req -0.314 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.311 -> buffer (7.72, 7.79) BUF_X8 cap 6.360 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.310 -> buffer (7.72, 7.79) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 5.206 req -0.308 -> buffer (7.72, 7.79) BUF_X32 cap 25.711 req -0.308 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.343 -> buffer (7.15, 9.01) BUF_X1 cap 0.935 req -0.343 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.327 -> buffer (7.15, 9.01) BUF_X2 cap 1.704 req -0.327 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.316 -> buffer (7.15, 9.01) BUF_X4 cap 3.252 req -0.316 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.312 -> buffer (7.15, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.310 -> buffer (7.15, 9.01) BUF_X16 cap 12.271 req -0.310 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 6.275 req -0.309 -> buffer (7.15, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.347 -> buffer (6.59, 9.01) BUF_X1 cap 0.935 req -0.347 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.329 -> buffer (6.59, 9.01) BUF_X2 cap 1.704 req -0.329 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.317 -> buffer (6.59, 9.01) BUF_X4 cap 3.252 req -0.317 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.312 -> buffer (6.59, 9.01) BUF_X8 cap 6.360 req -0.312 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.311 -> buffer (6.59, 9.01) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 7.253 req -0.309 -> buffer (6.59, 9.01) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.350 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.350 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.331 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.331 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.318 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.318 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.313 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.313 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.311 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 8.301 req -0.309 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 2 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.190 -> buffer (6.59, 10.52) BUF_X1 cap 0.935 req -0.190 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.174 -> buffer (6.59, 10.52) BUF_X2 cap 1.704 req -0.174 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.164 -> buffer (6.59, 10.52) BUF_X4 cap 3.252 req -0.164 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.160 -> buffer (6.59, 10.52) BUF_X8 cap 6.360 req -0.160 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.159 -> buffer (6.59, 10.52) BUF_X16 cap 12.271 req -0.159 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 3.307 req -0.157 -> buffer (6.59, 10.52) BUF_X32 cap 25.711 req -0.157 buffers 1 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.353 -> buffer (6.55, 10.52) BUF_X1 cap 0.935 req -0.353 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.332 -> buffer (6.55, 10.52) BUF_X2 cap 1.704 req -0.332 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.319 -> buffer (6.55, 10.52) BUF_X4 cap 3.252 req -0.319 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.314 -> buffer (6.55, 10.52) BUF_X8 cap 6.360 req -0.314 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.311 -> buffer (6.55, 10.52) BUF_X16 cap 12.271 req -0.311 buffers 3 +[DEBUG RSZ-rebuffer] buffer cap 9.237 req -0.309 -> buffer (6.55, 10.52) BUF_X32 cap 25.711 req -0.309 buffers 3 +[DEBUG RSZ-rebuffer] option 1: 0 buffers req -0.233 - 0.320 = -0.553 * 0.00 = -0.553 cap 11.665 +[DEBUG RSZ-rebuffer] option 2: 1 buffers req -0.233 - 0.316 = -0.549 * 0.01 = -0.554 cap 9.293 +[DEBUG RSZ-rebuffer] option 3: 2 buffers req -0.233 - 0.316 = -0.549 * 0.02 = -0.560 cap 9.237 +[DEBUG RSZ-rebuffer] option 4: 2 buffers req -0.318 - 0.312 = -0.630 * 0.02 = -0.643 cap 6.561 +[DEBUG RSZ-rebuffer] option 5: 3 buffers req -0.318 - 0.308 = -0.626 * 0.03 = -0.645 cap 4.189 +[DEBUG RSZ-rebuffer] option 6: 3 buffers req -0.331 - 0.305 = -0.635 * 0.03 = -0.654 cap 2.641 +[DEBUG RSZ-rebuffer] option 7: 3 buffers req -0.350 - 0.303 = -0.653 * 0.03 = -0.673 cap 1.871 +[DEBUG RSZ-rebuffer] option 8: 3 buffers req -0.353 - 0.301 = -0.654 * 0.03 = -0.673 cap 0.935 +[DEBUG RSZ-rebuffer] option 9: 3 buffers req -0.332 - 0.303 = -0.635 * 0.03 = -0.654 cap 1.704 +[DEBUG RSZ-rebuffer] option 10: 3 buffers req -0.319 - 0.306 = -0.625 * 0.03 = -0.644 cap 3.252 +[DEBUG RSZ-rebuffer] option 11: 3 buffers req -0.314 - 0.311 = -0.625 * 0.03 = -0.644 cap 6.360 +[DEBUG RSZ-rebuffer] option 12: 3 buffers req -0.311 - 0.321 = -0.632 * 0.03 = -0.651 cap 12.271 +[DEBUG RSZ-rebuffer] option 13: 3 buffers req -0.309 - 0.340 = -0.649 * 0.03 = -0.668 cap 25.711 +[DEBUG RSZ-rebuffer] best option 1 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. +[DEBUG RSZ-repair_setup] Restoring best slack end slack -0.420 worst slack -0.529 +[DEBUG RSZ-journal] journal restore starts >>> +[DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal +[DEBUG RSZ-journal] journal restore ends <<< +[DEBUG RSZ-repair_setup] bailing out u1/r7/D no changes after 0 decreasing passes +[INFO RSZ-0045] Inserted 11 buffers, 1 to split loads. +[INFO RSZ-0041] Resized 51 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +No differences found. diff --git a/src/rsz/test/split_load_hier.tcl b/src/rsz/test/split_load_hier.tcl new file mode 100644 index 00000000000..ebf7654f27c --- /dev/null +++ b/src/rsz/test/split_load_hier.tcl @@ -0,0 +1,41 @@ +# repair_timing -setup 2 corners +source "helpers.tcl" +define_corners fast slow +read_liberty -corner slow Nangate45/Nangate45_slow.lib +read_liberty -corner fast Nangate45/Nangate45_fast.lib +read_lef Nangate45/Nangate45.lef +read_verilog split_load_hier.v +link_design reg1 -hier + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + + +create_clock -period 0.3 clk + + +source Nangate45/Nangate45.rc +set_wire_rc -layer metal3 +estimate_parasitics -placement + +report_worst_slack -max +report_tns -digits 3 + +set_debug_level RSZ "resizer_parastics" 2 +set_debug_level RSZ "repair_setup" 1 +set_debug_level RSZ "repair_setup" 3 +set_debug_level RSZ "repair_setup" 4 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "make_buffered_net" 4 +set_debug_level RSZ "rebuffer" 3 +set_debug_level RSZ "journal" 1 +set_debug_level ODB "DB_ECO" 1 + +repair_timing -setup -skip_last_gasp -skip_pin_swap -skip_gate_cloning -skip_buffer_removal -max_passes 10 + +set verilog_file [make_result_file split_load_hier_out.v] +write_verilog $verilog_file +diff_files $verilog_file split_load_hier_out.vok + diff --git a/src/rsz/test/split_load_hier.v b/src/rsz/test/split_load_hier.v new file mode 100644 index 00000000000..2ee98cd7cfe --- /dev/null +++ b/src/rsz/test/split_load_hier.v @@ -0,0 +1,146 @@ +/* + Hierarchical version of repair_setup4_flat.v + */ + + +module reg1 (clk); + input clk; + + DFF_X1 r1 (.CK(clk), + .Q(r1q)); + + submodule u1(.r1q(r1q), + .clk(clk) + ); + +endmodule // reg1 + +module submodule(input r1q, + input clk) ; + + wire u1z; + wire u2z; + wire u3z; + wire u4z; + wire u5z; + wire u6z; + wire u7z; + wire u8z; + wire u9z; + wire u10z; + wire u11z; + wire u12z; + wire u13z; + wire u14z; + wire u15z; + wire u16z; + wire u17z; + wire u18z; + wire u19z; + wire u20z; + + BUF_X1 u1 (.A(r1q), + .Z(u6z)); + DFF_X1 r2 (.D(u6z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u7z)); + DFF_X1 r2 (.D(u7z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u8z)); + DFF_X1 r2 (.D(u8z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u9z)); + DFF_X1 r2 (.D(u9z), + .CK(clk)); + + + BUF_X1 u1 (.A(r1q), + .Z(u10z)); + DFF_X1 r2 (.D(u10z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u11z)); + DFF_X1 r2 (.D(u11z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u12z)); + DFF_X1 r2 (.D(u12z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u13z)); + DFF_X1 r2 (.D(u13z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u14z)); + DFF_X1 r2 (.D(u14z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u15z)); + DFF_X1 r2 (.D(u15z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u16z)); + DFF_X1 r2 (.D(u16z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u17z)); + DFF_X1 r2 (.D(u17z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u18z)); + DFF_X1 r2 (.D(u18z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u19z)); + DFF_X1 r2 (.D(u19z), + .CK(clk)); + + BUF_X1 u1 (.A(r1q), + .Z(u20z)); + DFF_X1 r2 (.D(u20z), + .CK(clk)); + + + BUF_X1 u1 (.A(r1q), + .Z(u1z)); + BUF_X1 u2 (.A(u1z), + .Z(u2z)); + BUF_X1 u3 (.A(u2z), + .Z(u3z)); + BUF_X1 u4 (.A(u3z), + .Z(u4z)); + BUF_X1 u5 (.A(u4z), + .Z(u5z)); + DFF_X1 r2 (.D(u5z), + .CK(clk)); + DFF_X1 r3 (.D(r1q), + .CK(clk)); + DFF_X1 r4 (.D(r1q), + .CK(clk)); + DFF_X1 r5 (.D(r1q), + .CK(clk)); + DFF_X1 r6 (.D(r1q), + .CK(clk)); + DFF_X1 r7 (.D(r1q), + .CK(clk)); + DFF_X1 r8 (.D(r1q)); + DFF_X1 r9 (.D(r1q)); + DFF_X1 r10 (.D(r1q)); + DFF_X1 r11 (.D(r1q)); + DFF_X1 r12 (.D(r1q)); +endmodule diff --git a/src/rsz/test/split_load_hier_out.vok b/src/rsz/test/split_load_hier_out.vok new file mode 100644 index 00000000000..0e018f49384 --- /dev/null +++ b/src/rsz/test/split_load_hier_out.vok @@ -0,0 +1,131 @@ +module reg1 (clk); + input clk; + + + BUF_X4 rebuffer12 (.A(net1), + .Z(net67)); + BUF_X4 rebuffer10 (.A(net29), + .Z(net45)); + BUF_X8 rebuffer9 (.A(net45), + .Z(net43)); + BUF_X1 rebuffer8 (.A(r1q), + .Z(net36)); + BUF_X4 rebuffer7 (.A(r1q), + .Z(net29)); + BUF_X8 rebuffer6 (.A(net67), + .Z(net68)); + BUF_X8 rebuffer5 (.A(net1), + .Z(net68)); + BUF_X1 split4 (.A(net1), + .Z(net63)); + BUF_X16 rebuffer3 (.A(net43), + .Z(net62)); + BUF_X8 rebuffer2 (.A(net36), + .Z(net62)); + BUF_X8 split1 (.A(net29), + .Z(net1)); + DFF_X2 r1 (.CK(clk), + .Q(r1q)); + submodule u1 (.net20_i(net63), + .net2_i(net68), + .r1q(net62), + .clk(clk)); +endmodule +module submodule (net20_i, + net2_i, + r1q, + clk); + input net20_i; + input net2_i; + input r1q; + input clk; + + + DFF_X1 r10 (.D(net20_i)); + DFF_X1 r11 (.D(net20_i)); + DFF_X1 r12 (.D(net20_i)); + DFF_X1 r2 (.D(\u1/u6z ), + .CK(clk)); + DFF_X1 r2_1 (.D(\u1/u7z ), + .CK(clk)); + DFF_X1 r2_10 (.D(\u1/u16z ), + .CK(clk)); + DFF_X1 r2_11 (.D(\u1/u17z ), + .CK(clk)); + DFF_X1 r2_12 (.D(\u1/u18z ), + .CK(clk)); + DFF_X1 r2_13 (.D(\u1/u19z ), + .CK(clk)); + DFF_X1 r2_14 (.D(\u1/u20z ), + .CK(clk)); + DFF_X1 r2_15 (.D(\u1/u5z ), + .CK(clk)); + DFF_X1 r2_2 (.D(\u1/u8z ), + .CK(clk)); + DFF_X1 r2_3 (.D(\u1/u9z ), + .CK(clk)); + DFF_X1 r2_4 (.D(\u1/u10z ), + .CK(clk)); + DFF_X1 r2_5 (.D(\u1/u11z ), + .CK(clk)); + DFF_X1 r2_6 (.D(\u1/u12z ), + .CK(clk)); + DFF_X1 r2_7 (.D(\u1/u13z ), + .CK(clk)); + DFF_X1 r2_8 (.D(\u1/u14z ), + .CK(clk)); + DFF_X1 r2_9 (.D(\u1/u15z ), + .CK(clk)); + DFF_X1 r3 (.D(net20_i), + .CK(clk)); + DFF_X1 r4 (.D(net2_i), + .CK(clk)); + DFF_X1 r5 (.D(net2_i), + .CK(clk)); + DFF_X1 r6 (.D(net2_i), + .CK(clk)); + DFF_X1 r7 (.D(net2_i), + .CK(clk)); + DFF_X1 r8 (.D(net20_i)); + DFF_X1 r9 (.D(net20_i)); + BUF_X4 u1 (.A(net2_i), + .Z(\u1/u6z )); + BUF_X4 u1_1 (.A(net2_i), + .Z(\u1/u7z )); + BUF_X4 u1_10 (.A(net2_i), + .Z(\u1/u16z )); + BUF_X1 u1_11 (.A(r1q), + .Z(\u1/u17z )); + BUF_X1 u1_12 (.A(r1q), + .Z(\u1/u18z )); + BUF_X4 u1_13 (.A(r1q), + .Z(\u1/u19z )); + BUF_X4 u1_14 (.A(r1q), + .Z(\u1/u20z )); + BUF_X4 u1_15 (.A(r1q), + .Z(\u1/u1z )); + BUF_X4 u1_2 (.A(r1q), + .Z(\u1/u8z )); + BUF_X4 u1_3 (.A(r1q), + .Z(\u1/u9z )); + BUF_X4 u1_4 (.A(r1q), + .Z(\u1/u10z )); + BUF_X1 u1_5 (.A(r1q), + .Z(\u1/u11z )); + BUF_X1 u1_6 (.A(r1q), + .Z(\u1/u12z )); + BUF_X1 u1_7 (.A(r1q), + .Z(\u1/u13z )); + BUF_X1 u1_8 (.A(r1q), + .Z(\u1/u14z )); + BUF_X1 u1_9 (.A(r1q), + .Z(\u1/u15z )); + BUF_X2 u2 (.A(\u1/u1z ), + .Z(\u1/u2z )); + BUF_X2 u3 (.A(\u1/u2z ), + .Z(\u1/u3z )); + BUF_X2 u4 (.A(\u1/u3z ), + .Z(\u1/u4z )); + BUF_X4 u5 (.A(\u1/u4z ), + .Z(\u1/u5z )); +endmodule From 57400a32eaa44221833abf371213e9e19da38c87 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Sun, 27 Oct 2024 18:40:57 -0700 Subject: [PATCH 09/19] Cleaned up duplicate names in test case Signed-off-by: andyfox-rushc --- src/rsz/test/split_load_hier.ok | 4180 +++++++++++++++---------------- src/rsz/test/split_load_hier.v | 88 +- 2 files changed, 2120 insertions(+), 2148 deletions(-) diff --git a/src/rsz/test/split_load_hier.ok b/src/rsz/test/split_load_hier.ok index 20e6ae86e77..7987a219616 100644 --- a/src/rsz/test/split_load_hier.ok +++ b/src/rsz/test/split_load_hier.ok @@ -1,34 +1,4 @@ [INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells -[WARNING STA-1396] split_load_hier.v line 47, instance name u1 duplicated - renamed to u1_1. -[WARNING STA-1396] split_load_hier.v line 49, instance name r2 duplicated - renamed to r2_1. -[WARNING STA-1396] split_load_hier.v line 52, instance name u1 duplicated - renamed to u1_2. -[WARNING STA-1396] split_load_hier.v line 54, instance name r2 duplicated - renamed to r2_2. -[WARNING STA-1396] split_load_hier.v line 57, instance name u1 duplicated - renamed to u1_3. -[WARNING STA-1396] split_load_hier.v line 59, instance name r2 duplicated - renamed to r2_3. -[WARNING STA-1396] split_load_hier.v line 63, instance name u1 duplicated - renamed to u1_4. -[WARNING STA-1396] split_load_hier.v line 65, instance name r2 duplicated - renamed to r2_4. -[WARNING STA-1396] split_load_hier.v line 68, instance name u1 duplicated - renamed to u1_5. -[WARNING STA-1396] split_load_hier.v line 70, instance name r2 duplicated - renamed to r2_5. -[WARNING STA-1396] split_load_hier.v line 73, instance name u1 duplicated - renamed to u1_6. -[WARNING STA-1396] split_load_hier.v line 75, instance name r2 duplicated - renamed to r2_6. -[WARNING STA-1396] split_load_hier.v line 78, instance name u1 duplicated - renamed to u1_7. -[WARNING STA-1396] split_load_hier.v line 80, instance name r2 duplicated - renamed to r2_7. -[WARNING STA-1396] split_load_hier.v line 83, instance name u1 duplicated - renamed to u1_8. -[WARNING STA-1396] split_load_hier.v line 85, instance name r2 duplicated - renamed to r2_8. -[WARNING STA-1396] split_load_hier.v line 88, instance name u1 duplicated - renamed to u1_9. -[WARNING STA-1396] split_load_hier.v line 90, instance name r2 duplicated - renamed to r2_9. -[WARNING STA-1396] split_load_hier.v line 93, instance name u1 duplicated - renamed to u1_10. -[WARNING STA-1396] split_load_hier.v line 95, instance name r2 duplicated - renamed to r2_10. -[WARNING STA-1396] split_load_hier.v line 98, instance name u1 duplicated - renamed to u1_11. -[WARNING STA-1396] split_load_hier.v line 100, instance name r2 duplicated - renamed to r2_11. -[WARNING STA-1396] split_load_hier.v line 103, instance name u1 duplicated - renamed to u1_12. -[WARNING STA-1396] split_load_hier.v line 105, instance name r2 duplicated - renamed to r2_12. -[WARNING STA-1396] split_load_hier.v line 108, instance name u1 duplicated - renamed to u1_13. -[WARNING STA-1396] split_load_hier.v line 110, instance name r2 duplicated - renamed to r2_13. -[WARNING STA-1396] split_load_hier.v line 113, instance name u1 duplicated - renamed to u1_14. -[WARNING STA-1396] split_load_hier.v line 115, instance name r2 duplicated - renamed to r2_14. -[WARNING STA-1396] split_load_hier.v line 119, instance name u1 duplicated - renamed to u1_15. -[WARNING STA-1396] split_load_hier.v line 129, instance name r2 duplicated - renamed to r2_15. [INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. [INFO GPL-0002] DBU: 2000 [INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um @@ -49,11 +19,11 @@ [INFO GPL-0019] Util: 0.288 % [INFO GPL-0020] StdInstsArea: 138.054 um^2 [INFO GPL-0021] MacroInstsArea: 0.000 um^2 -[InitialPlace] Iter: 1 CG residual: 0.00000010 HPWL: 182440 -[InitialPlace] Iter: 2 CG residual: 0.00000008 HPWL: 200252 -[InitialPlace] Iter: 3 CG residual: 0.00000009 HPWL: 195784 -[InitialPlace] Iter: 4 CG residual: 0.00000007 HPWL: 194849 -[InitialPlace] Iter: 5 CG residual: 0.00000008 HPWL: 194516 +[InitialPlace] Iter: 1 CG residual: 0.00000001 HPWL: 182440 +[InitialPlace] Iter: 2 CG residual: 0.00000005 HPWL: 200075 +[InitialPlace] Iter: 3 CG residual: 0.00000011 HPWL: 195901 +[InitialPlace] Iter: 4 CG residual: 0.00000010 HPWL: 194848 +[InitialPlace] Iter: 5 CG residual: 0.00000009 HPWL: 194513 Placement Analysis --------------------------------- total displacement 590.5 u @@ -61,22 +31,22 @@ average displacement 12.6 u max displacement 18.0 u original HPWL 5.0 u legalized HPWL 257.2 u -delta HPWL 5027 % +delta HPWL 5035 % worst slack -0.59 tns -7.917 [DEBUG RSZ-repair_setup] Violating endpoints 21/79 26% [INFO RSZ-0094] Found 21 endpoints with setup violations. [INFO RSZ-0099] Repairing 21 out of 21 (100.00%) violating endpoints... -[DEBUG RSZ-repair_setup] u1/r2_15/D slack = -0.593 worst_slack = -0.593 +[DEBUG RSZ-repair_setup] u1/r21/D slack = -0.593 worst_slack = -0.593 [DEBUG RSZ-repair_setup] Doing 1 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.201 intrinsic_delay = 0.289 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.079 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.022 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.019 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.021 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.079 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.022 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.021 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] r1/Q DFF_X1 fanout = 26 drvr_index = 2 [DEBUG RSZ-repair_setup] resize r1/Q DFF_X1 -> DFF_X2 [DEBUG RSZ-journal] journal replace r1 (DFF_X1) @@ -85,39 +55,39 @@ tns -7.917 [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.076 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.058 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.020 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.019 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.021 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.058 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.020 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.019 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.021 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 26 drvr_index = 2 -[DEBUG RSZ-repair_setup] split loads r1/Q -> u1/u1_15/A -[DEBUG RSZ-repair_setup] fanin u1/r10/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r11/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r12/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r3/D slack_margin = 0.267 -[DEBUG RSZ-repair_setup] fanin u1/r4/D slack_margin = 0.267 -[DEBUG RSZ-repair_setup] fanin u1/r5/D slack_margin = 0.267 -[DEBUG RSZ-repair_setup] fanin u1/r6/D slack_margin = 0.267 -[DEBUG RSZ-repair_setup] fanin u1/r7/D slack_margin = 0.267 -[DEBUG RSZ-repair_setup] fanin u1/r8/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r9/D slack_margin = INF +[DEBUG RSZ-repair_setup] split loads r1/Q -> u1/u16/A +[DEBUG RSZ-repair_setup] fanin u1/r22/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r23/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r24/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r25/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r26/D slack_margin = 0.267 +[DEBUG RSZ-repair_setup] fanin u1/r27/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r28/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r29/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r30/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r31/D slack_margin = INF [DEBUG RSZ-repair_setup] fanin u1/u1/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_1/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_10/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_11/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_12/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_13/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_14/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_15/A slack_margin = 0.000 -[DEBUG RSZ-repair_setup] fanin u1/u1_2/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_3/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_4/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_5/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_6/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_7/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_8/A slack_margin = 0.214 -[DEBUG RSZ-repair_setup] fanin u1/u1_9/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u10/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u11/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u12/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u13/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u14/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u15/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u16/A slack_margin = 0.000 +[DEBUG RSZ-repair_setup] fanin u1/u2/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u3/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u4/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u5/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u6/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u7/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u8/A slack_margin = 0.214 +[DEBUG RSZ-repair_setup] fanin u1/u9/A slack_margin = 0.214 [DEBUG ODB-DB_ECO] ECO: dbInst:create [DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 [DEBUG ODB-DB_ECO] ECO: setOrigin 13110, 21035 @@ -125,56 +95,56 @@ tns -7.917 [DEBUG ODB-DB_ECO] ECO: create net, name net1 [DEBUG ODB-DB_ECO] ECO: connect Iterm 243 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to net 23 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 91 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 91 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to modnet 5 [DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to modnet 6 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 23 -Factored Connection from split1/Z to u1/r11/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 23 -Factored Connection from split1/Z to u1/r12/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 23 -Factored Connection from split1/Z to u1/r8/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 23 -Factored Connection from split1/Z to u1/r9/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 23 +Factored Connection from split1/Z to u1/r28/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 23 +Factored Connection from split1/Z to u1/r29/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 23 +Factored Connection from split1/Z to u1/r30/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 23 -Factored Connection from split1/Z to u1/r3/D +Factored Connection from split1/Z to u1/r31/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 23 -Factored Connection from split1/Z to u1/r4/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 23 -Factored Connection from split1/Z to u1/r5/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 23 -Factored Connection from split1/Z to u1/r6/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 23 -Factored Connection from split1/Z to u1/r7/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 23 +Factored Connection from split1/Z to u1/r22/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 23 +Factored Connection from split1/Z to u1/r23/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 23 +Factored Connection from split1/Z to u1/r24/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 79 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 79 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to net 23 +Factored Connection from split1/Z to u1/r25/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to modnet 5 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 23 +Factored Connection from split1/Z to u1/r26/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 [DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 23 @@ -183,12 +153,12 @@ Factored Connection from split1/Z to u1/u1/A [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 23 -Factored Connection from split1/Z to u1/u1_1/A +Factored Connection from split1/Z to u1/u10/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 23 -Factored Connection from split1/Z to u1/u1_10/A +Factored Connection from split1/Z to u1/u11/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-journal] journal replace split1 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster @@ -196,25 +166,25 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.025 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.020 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.020 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.068 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 14 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.87, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.87, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF [DEBUG RSZ-rebuffer] driver r1/Q [DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.067 -> buffer (16.27, 0.61) BUF_X1 cap 0.935 req 0.067 buffers 1 @@ -319,35 +289,35 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -366,20 +336,20 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 248 to modnet 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 @@ -400,34 +370,34 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 252 to modnet 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 @@ -439,31 +409,31 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.014 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.017 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X1 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_15/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_15 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.017 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u16/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u16/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u16 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 4 slack = -0.529 worst_slack = -0.529 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u16/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.89, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.89, 7.79) cap 1.811 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -542,35 +512,35 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -579,75 +549,75 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u20/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u20/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u20 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 5 slack = -0.533 worst_slack = -0.533 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.019 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.015 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X1 fanout = 1 drvr_index = 10 -[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.015 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u19/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u19/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u19 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 6 slack = -0.529 worst_slack = -0.529 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.018 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.014 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X1 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.018 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u18/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u18/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u18 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 7 slack = -0.526 worst_slack = -0.526 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.016 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.019 intrinsic_delay = 0.068 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.014 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X1 fanout = 1 drvr_index = 6 -[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.016 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.019 intrinsic_delay = 0.068 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.014 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z BUF_X1 fanout = 1 drvr_index = 6 +[DEBUG RSZ-repair_setup] resize u1/u17/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u17 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 8 slack = -0.516 worst_slack = -0.516 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.015 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.018 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X2 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_15/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_15 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.018 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u16/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u16/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u16 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 9 slack = -0.511 worst_slack = -0.511 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.017 intrinsic_delay = 0.259 -[DEBUG RSZ-repair_setup] u1/u1_15/Z load_delay = 0.015 intrinsic_delay = 0.060 -[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.012 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.013 intrinsic_delay = 0.063 -[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u16/Z load_delay = 0.015 intrinsic_delay = 0.060 +[DEBUG RSZ-repair_setup] u1/u17/Z load_delay = 0.012 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u18/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u19/Z load_delay = 0.013 intrinsic_delay = 0.063 +[DEBUG RSZ-repair_setup] u1/u20/Z load_delay = 0.013 intrinsic_delay = 0.063 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load split1/A (6.68, 11.13) cap 1.422 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -726,35 +696,35 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -763,15 +733,15 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_15/Z BUF_X4 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u16/Z BUF_X4 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u20/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u20/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u20 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 10 slack = -0.509 worst_slack = -0.509 save [DEBUG RSZ-journal] journal end [DEBUG RSZ-journal] journal begin -[DEBUG RSZ-repair_setup] u1/r2/D slack = -0.416 worst_slack = -0.509 +[DEBUG RSZ-repair_setup] u1/r1/D slack = -0.416 worst_slack = -0.509 [DEBUG RSZ-repair_setup] Doing 2 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.024 intrinsic_delay = 0.413 @@ -794,19 +764,19 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.052 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 13 drvr_index = 4 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r9/D (0.89, 10.59) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r6/D (0.89, 11.82) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r10/D (4.12, 7.78) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 6.22) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r11/D (7.35, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r31/D (0.89, 10.59) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r25/D (0.89, 11.82) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r27/D (4.12, 7.78) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r22/D (7.35, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r28/D (7.35, 4.99) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 4.99) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r12/D (10.58, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r8/D (13.81, 0.61) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r29/D (10.58, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r30/D (13.81, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver split1/Z [DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.142 -> buffer (0.89, 10.59) BUF_X1 cap 0.935 req 0.142 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.202 req 0.150 -> buffer (0.89, 10.59) BUF_X2 cap 1.704 req 0.150 buffers 1 @@ -912,45 +882,45 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r9/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 5 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r6/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r10/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 5 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r3/D to net2_i +[DEBUG RSZ-rebuffer] connect load u1/r31/D to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 23 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r25/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 79 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 79 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] connect load u1/r27/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 91 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 91 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to modnet 5 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r22/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r11/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r28/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -962,59 +932,59 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r12/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r29/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 23 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r8/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 23 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r30/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 23 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 23 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG RSZ-repair_setup] split loads split1/Z -> u1/u1/A -[DEBUG RSZ-repair_setup] fanin u1/u1_1/A slack_margin = 0.004 -[DEBUG RSZ-repair_setup] fanin u1/r8/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r5/D slack_margin = 0.060 -[DEBUG RSZ-repair_setup] fanin u1/u1_10/A slack_margin = 0.004 -[DEBUG RSZ-repair_setup] fanin u1/r12/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r4/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/u10/A slack_margin = 0.004 +[DEBUG RSZ-repair_setup] fanin u1/r30/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r24/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/u11/A slack_margin = 0.004 +[DEBUG RSZ-repair_setup] fanin u1/r29/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r23/D slack_margin = 0.060 [DEBUG RSZ-repair_setup] fanin u1/u1/A slack_margin = 0.004 -[DEBUG RSZ-repair_setup] fanin u1/r11/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r3/D slack_margin = 0.060 -[DEBUG RSZ-repair_setup] fanin u1/r10/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r6/D slack_margin = 0.060 -[DEBUG RSZ-repair_setup] fanin u1/r9/D slack_margin = INF -[DEBUG RSZ-repair_setup] fanin u1/r7/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/r28/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r22/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/r27/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r25/D slack_margin = 0.060 +[DEBUG RSZ-repair_setup] fanin u1/r31/D slack_margin = INF +[DEBUG RSZ-repair_setup] fanin u1/r26/D slack_margin = 0.060 [DEBUG ODB-DB_ECO] ECO: dbInst:create [DEBUG ODB-DB_ECO] ECO: setPlacementStatus 3 [DEBUG ODB-DB_ECO] ECO: setOrigin 16361, 22388 @@ -1022,48 +992,48 @@ Factored Connection from split1/Z to u1/u1_10/A [DEBUG ODB-DB_ECO] ECO: create net, name net19 [DEBUG ODB-DB_ECO] ECO: connect Iterm 255 to net 23 [DEBUG ODB-DB_ECO] ECO: connect Iterm 256 to net 26 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 91 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 91 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to modnet 7 [DEBUG ODB-DB_ECO] ECO: connect Iterm 256 to modnet 8 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 26 -Factored Connection from split4/Z to u1/r11/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 26 -Factored Connection from split4/Z to u1/r12/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 26 -Factored Connection from split4/Z to u1/r8/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 26 -Factored Connection from split4/Z to u1/r9/D -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 26 +Factored Connection from split4/Z to u1/r28/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 26 +Factored Connection from split4/Z to u1/r29/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 26 +Factored Connection from split4/Z to u1/r30/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 7 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 26 -Factored Connection from split4/Z to u1/r3/D +Factored Connection from split4/Z to u1/r31/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 7 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 26 +Factored Connection from split4/Z to u1/r22/D +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 7 [DEBUG RSZ-repair_setup] pass 3 slack = -0.359 worst_slack = -0.518 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.039 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.045 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] u1/u1/Z load_delay = 0.023 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] split1/Z BUF_X8 fanout = 8 drvr_index = 4 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.39, 4.99) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r6/D (0.89, 11.82) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r25/D (0.89, 11.82) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load split4/A (8.31, 11.81) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver split1/Z [DEBUG RSZ-rebuffer] buffer cap 1.414 req 0.143 -> buffer (8.18, 9.01) BUF_X1 cap 0.935 req 0.143 buffers 1 @@ -1155,11 +1125,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -1171,26 +1141,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 @@ -1210,11 +1180,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 244 to net 23 [DEBUG ODB-DB_ECO] ECO: connect Iterm 264 to modnet 6 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r6/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 139 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 139 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to net 28 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 139 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r25/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 79 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 79 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to net 28 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] rebuffer split1/Z inserted 2 [DEBUG RSZ-repair_setup] rebuffer split1/Z inserted 2 @@ -1263,11 +1233,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 8 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -1342,35 +1312,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -1510,11 +1480,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -1584,35 +1554,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -1707,11 +1677,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -1781,35 +1751,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -1860,14 +1830,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal replace u1/u1 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 10 slack = -0.447 worst_slack = -0.562 -[DEBUG RSZ-repair_setup] u1/r2_1/D slack = -0.457 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] u1/r10/D slack = -0.457 worst_slack = -0.562 [DEBUG RSZ-repair_setup] Doing 3 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.022 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.022 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u10/Z load_delay = 0.022 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -1907,11 +1877,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -1981,35 +1951,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -2054,9 +2024,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X1 fanout = 1 drvr_index = 10 -[DEBUG RSZ-repair_setup] resize u1/u1_1/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_1 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u10/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u10/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u10 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.456 worst_slack = -0.562 save [DEBUG RSZ-journal] journal end @@ -2065,7 +2035,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.023 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.021 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u10/Z load_delay = 0.021 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -2105,11 +2075,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -2179,35 +2149,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -2253,12 +2223,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.98, 4.99) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.98, 4.99) cap 1.811 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.142 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.142 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.151 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.151 buffers 1 @@ -2327,11 +2297,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -2343,33 +2313,33 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 -[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X2 fanout = 1 drvr_index = 10 -[DEBUG RSZ-repair_setup] resize u1/u1_1/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_1 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u10/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u10/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u10 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.452 worst_slack = -0.562 save [DEBUG RSZ-journal] journal end @@ -2378,7 +2348,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.025 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_1/Z load_delay = 0.017 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u10/Z load_delay = 0.017 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -2419,11 +2389,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -2493,35 +2463,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -2529,12 +2499,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 @@ -2605,11 +2575,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -2621,26 +2591,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 @@ -2683,21 +2653,21 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_1/Z BUF_X4 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] u1/u10/Z BUF_X4 fanout = 1 drvr_index = 10 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.452 worst slack -0.562 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_1/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_10/D slack = -0.462 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] bailing out u1/r10/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r11/D slack = -0.462 worst_slack = -0.562 [DEBUG RSZ-repair_setup] Doing 4 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.025 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.023 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u11/Z load_delay = 0.023 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -2738,11 +2708,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -2812,35 +2782,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -2848,12 +2818,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.05, 3.41) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.05, 3.41) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 @@ -2924,11 +2894,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -2940,26 +2910,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 @@ -3002,9 +2972,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X1 fanout = 1 drvr_index = 10 -[DEBUG RSZ-repair_setup] resize u1/u1_10/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_10 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u11/Z BUF_X1 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u11/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u11 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.461 worst_slack = -0.562 save [DEBUG RSZ-journal] journal end @@ -3013,7 +2983,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.026 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.023 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u11/Z load_delay = 0.023 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -3054,11 +3024,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -3128,35 +3098,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -3164,12 +3134,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.06, 3.41) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.06, 3.41) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.141 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.141 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.150 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.150 buffers 1 @@ -3239,11 +3209,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -3255,26 +3225,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 @@ -3317,9 +3287,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X2 fanout = 1 drvr_index = 10 -[DEBUG RSZ-repair_setup] resize u1/u1_10/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_10 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u11/Z BUF_X2 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] resize u1/u11/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u11 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.456 worst_slack = -0.562 save [DEBUG RSZ-journal] journal end @@ -3328,7 +3298,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] split1/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer5/Z load_delay = 0.028 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_10/Z load_delay = 0.019 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u11/Z load_delay = 0.019 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.68, 11.74) cap 0.983 req INF @@ -3370,12 +3340,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 @@ -3447,11 +3417,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -3463,36 +3433,36 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.99, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -3562,35 +3532,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -3635,19 +3605,19 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_10/Z BUF_X4 fanout = 1 drvr_index = 10 +[DEBUG RSZ-repair_setup] u1/u11/Z BUF_X4 fanout = 1 drvr_index = 10 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.456 worst slack -0.562 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_10/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_11/D slack = -0.499 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] bailing out u1/r11/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r12/D slack = -0.499 worst_slack = -0.562 [DEBUG RSZ-repair_setup] Doing 5 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.156 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.062 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.062 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X1 fanout = 3 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X1 -> BUF_X2 [DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X1) @@ -3655,7 +3625,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] pass 1 slack = -0.420 worst_slack = -0.565 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.094 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.044 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.044 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X2 fanout = 3 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X2 -> BUF_X4 [DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X2) @@ -3663,7 +3633,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] pass 2 slack = -0.382 worst_slack = -0.571 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.064 intrinsic_delay = 0.032 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.033 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X4 fanout = 3 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X4 -> BUF_X8 [DEBUG RSZ-journal] journal replace rebuffer2 (BUF_X4) @@ -3671,11 +3641,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] pass 3 slack = -0.373 worst_slack = -0.585 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.043 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.052 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 4 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -3718,30 +3688,30 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer2/A (8.98, 8.40) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -3810,35 +3780,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -3865,7 +3835,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.046 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) @@ -3874,7 +3844,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.037 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) @@ -3883,14 +3853,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.030 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -3960,35 +3930,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -3996,9 +3966,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.089 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.089 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -4041,40 +4011,40 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X1 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_11/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_11 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u12/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u12/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u12 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 7 slack = -0.419 worst_slack = -0.579 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.031 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -4144,35 +4114,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -4180,9 +4150,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.30, 0.61) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.30, 0.61) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -4224,40 +4194,40 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X2 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_11/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_11 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u12/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u12/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u12 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 8 slack = -0.413 worst_slack = -0.595 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.033 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_11/Z load_delay = 0.020 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u12/Z load_delay = 0.020 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -4328,35 +4298,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -4364,9 +4334,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.26, 0.61) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.26, 0.61) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.087 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.087 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.094 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.094 buffers 1 @@ -4408,38 +4378,38 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_11/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u12/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] No change after 4 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.471 worst slack -0.562 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 2 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_11/D no changes after 4 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_12/D slack = -0.471 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] bailing out u1/r12/D no changes after 4 decreasing passes +[DEBUG RSZ-repair_setup] u1/r13/D slack = -0.471 worst_slack = -0.562 [DEBUG RSZ-repair_setup] Doing 6 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.046 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u13/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) @@ -4448,7 +4418,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.037 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u13/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) @@ -4457,14 +4427,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.030 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u13/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -4534,35 +4504,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -4570,9 +4540,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.089 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.089 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -4615,40 +4585,40 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X1 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_12/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_12 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u13/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u13/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u13 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 3 slack = -0.422 worst_slack = -0.579 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.031 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u13/Z load_delay = 0.027 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -4718,35 +4688,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -4754,9 +4724,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.86, 0.61) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.86, 0.61) cap 1.811 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.088 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.095 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -4803,40 +4773,40 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X2 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_12/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_12 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u13/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u13/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u13 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 4 slack = -0.414 worst_slack = -0.595 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.033 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_12/Z load_delay = 0.021 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u13/Z load_delay = 0.021 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -4907,35 +4877,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -4943,9 +4913,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.83, 0.61) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.83, 0.61) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.087 -> buffer (10.49, 6.21) BUF_X1 cap 0.935 req 0.087 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.985 req 0.094 -> buffer (10.49, 6.21) BUF_X2 cap 1.704 req 0.094 buffers 1 @@ -4991,38 +4961,38 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_12/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u13/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] No change after 4 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.471 worst slack -0.562 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 2 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_12/D no changes after 4 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_13/D slack = -0.562 worst_slack = -0.562 +[DEBUG RSZ-repair_setup] bailing out u1/r13/D no changes after 4 decreasing passes +[DEBUG RSZ-repair_setup] u1/r14/D slack = -0.562 worst_slack = -0.562 [DEBUG RSZ-repair_setup] Doing 7 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.140 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.062 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.062 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X1 fanout = 5 drvr_index = 6 [DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X1 -> BUF_X2 [DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X1) @@ -5033,7 +5003,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.079 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.044 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.044 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X2 fanout = 5 drvr_index = 6 [DEBUG RSZ-repair_setup] resize rebuffer3/Z BUF_X2 -> BUF_X4 [DEBUG RSZ-journal] journal replace rebuffer3 (BUF_X2) @@ -5042,7 +5012,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.049 intrinsic_delay = 0.032 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.033 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer3/A (6.67, 11.74) cap 3.446 req INF @@ -5101,13 +5071,13 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.046 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.044 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.052 intrinsic_delay = 0.032 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.033 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X4 fanout = 5 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.081 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.081 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.087 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.087 buffers 1 @@ -5153,34 +5123,34 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 @@ -5235,7 +5205,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.030 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.046 intrinsic_delay = 0.032 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.033 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.033 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.81, 11.81) cap 1.811 req INF @@ -5286,7 +5256,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.048 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.044 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.81, 11.81) cap 1.811 req INF @@ -5337,7 +5307,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer7/Z load_delay = 0.051 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.031 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer9/A (6.79, 11.81) cap 3.446 req INF @@ -5396,7 +5366,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.030 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.028 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.93, 11.81) cap 1.811 req INF @@ -5448,7 +5418,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.030 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.025 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.025 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -5490,11 +5460,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.089 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.089 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 0.977 req 0.095 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -5540,44 +5510,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -5647,35 +5617,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -5683,9 +5653,9 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] u1/u1_13/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_13/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_13 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u14/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u14/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u14 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 9 slack = -0.529 worst_slack = -0.566 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -5693,7 +5663,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.031 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_13/Z load_delay = 0.024 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u14/Z load_delay = 0.024 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -5735,11 +5705,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.14, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.14, 13.39) cap 1.811 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.083 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.083 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.745 req 0.093 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 @@ -5786,44 +5756,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -5893,35 +5863,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -5929,12 +5899,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] u1/u1_13/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_13/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_13 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u14/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u14/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u14 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 10 slack = -0.523 worst_slack = -0.566 -[DEBUG RSZ-repair_setup] u1/r2_14/D slack = -0.533 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] u1/r15/D slack = -0.533 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 8 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -5942,7 +5912,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.032 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.026 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u15/Z load_delay = 0.026 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -5984,11 +5954,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.077 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.077 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.296 req 0.093 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 @@ -6037,44 +6007,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -6144,44 +6114,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_14/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_14 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u15/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u15/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u15 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.535 worst_slack = -0.566 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -6189,7 +6159,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.033 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u15/Z load_delay = 0.027 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -6231,11 +6201,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.71, 13.39) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.71, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.076 -> buffer (0.71, 13.39) BUF_X1 cap 0.935 req 0.076 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.297 req 0.093 -> buffer (0.71, 13.39) BUF_X2 cap 1.704 req 0.093 buffers 1 @@ -6285,41 +6255,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_14/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_14 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u15/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u15/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u15 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.528 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -6329,7 +6299,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.035 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_14/Z load_delay = 0.022 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u15/Z load_delay = 0.022 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -6371,11 +6341,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 @@ -6425,44 +6395,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -6532,35 +6502,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -6569,14 +6539,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 -[DEBUG RSZ-repair_setup] u1/u1_14/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] u1/u15/Z BUF_X4 fanout = 1 drvr_index = 12 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.528 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_14/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_2/D slack = -0.537 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r15/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r2/D slack = -0.537 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 9 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -6584,7 +6554,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.035 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.027 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.027 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -6626,11 +6596,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.26, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.26, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 @@ -6680,41 +6650,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_2/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_2 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.534 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -6724,7 +6694,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.036 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.025 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.025 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -6766,11 +6736,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.29, 13.39) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.29, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.075 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.075 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.092 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.092 buffers 1 @@ -6820,44 +6790,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -6927,44 +6897,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_2/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_2 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u2/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u2 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.530 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -6974,7 +6944,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_2/Z load_delay = 0.022 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u2/Z load_delay = 0.022 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7016,11 +6986,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.091 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.091 buffers 1 @@ -7070,44 +7040,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -7177,35 +7147,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -7214,14 +7184,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 -[DEBUG RSZ-repair_setup] u1/u1_2/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] u1/u2/Z BUF_X4 fanout = 1 drvr_index = 12 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.530 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_2/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_3/D slack = -0.541 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r2/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r3/D slack = -0.541 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 10 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -7229,7 +7199,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.037 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.028 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.028 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7271,11 +7241,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.84, 13.39) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.84, 13.39) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.091 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.091 buffers 1 @@ -7325,41 +7295,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_3/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_3 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.539 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -7369,7 +7339,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.038 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.027 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.027 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7410,11 +7380,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.86, 13.39) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.86, 13.39) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.074 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.074 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.090 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.090 buffers 1 @@ -7463,41 +7433,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_3/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_3 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u3/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u3 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.534 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -7507,7 +7477,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.040 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_3/Z load_delay = 0.023 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u3/Z load_delay = 0.023 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7548,11 +7518,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 @@ -7600,44 +7570,44 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -7707,35 +7677,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -7744,14 +7714,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 -[DEBUG RSZ-repair_setup] u1/u1_3/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] u1/u3/Z BUF_X4 fanout = 1 drvr_index = 12 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.534 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_3/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_4/D slack = -0.545 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r3/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r4/D slack = -0.545 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 11 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -7759,7 +7729,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.040 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.030 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.030 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7800,11 +7770,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.36, 11.81) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.36, 11.81) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 @@ -7852,41 +7822,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X1 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_4/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_4 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X1 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.544 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -7896,7 +7866,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.041 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.029 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.029 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -7937,11 +7907,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X8 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.38, 11.81) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.38, 11.81) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.073 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.073 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.089 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.089 buffers 1 @@ -7989,41 +7959,41 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 -[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X2 fanout = 1 drvr_index = 12 -[DEBUG RSZ-repair_setup] resize u1/u1_4/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_4 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X2 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] resize u1/u4/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u4 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 2 slack = -0.538 worst_slack = -0.566 save [DEBUG RSZ-journal] journal end @@ -8033,7 +8003,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.042 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.025 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.025 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -8086,7 +8056,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.024 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.038 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.034 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.018 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.018 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -8139,7 +8109,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] rebuffer10/Z load_delay = 0.031 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer9/Z load_delay = 0.027 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer3/Z load_delay = 0.028 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_4/Z load_delay = 0.018 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u4/Z load_delay = 0.018 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer7/Z BUF_X4 fanout = 2 drvr_index = 4 [DEBUG RSZ-make_buffered_net] load split1/A (6.67, 11.13) cap 6.635 req INF [DEBUG RSZ-make_buffered_net] load rebuffer10/A (6.90, 11.81) cap 3.446 req INF @@ -8182,11 +8152,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer10/Z BUF_X4 fanout = 1 drvr_index = 6 [DEBUG RSZ-repair_setup] rebuffer3/Z BUF_X16 fanout = 5 drvr_index = 10 -[DEBUG RSZ-make_buffered_net] load u1/u1_4/A (3.35, 11.81) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_3/A (1.83, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_2/A (1.25, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_14/A (0.69, 13.39) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_13/A (0.12, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u4/A (3.35, 11.81) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u3/A (1.83, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u2/A (1.25, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u15/A (0.69, 13.39) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u14/A (0.12, 13.39) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer3/Z [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.079 -> buffer (0.69, 13.39) BUF_X1 cap 0.935 req 0.079 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.295 req 0.095 -> buffer (0.69, 13.39) BUF_X2 cap 1.704 req 0.095 buffers 1 @@ -8236,45 +8206,45 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] best option 1 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_4/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 203 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 203 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 203 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u4/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_3/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 199 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 199 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 199 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u3/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_2/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 195 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 195 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to net 25 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 195 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u2/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 25 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_14/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u15/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 187 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 187 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 187 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_13/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u14/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 183 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 183 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to net 25 [DEBUG ODB-DB_ECO] ECO: connect Iterm 183 to modnet 2 [DEBUG RSZ-repair_setup] rebuffer9/Z BUF_X8 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -8344,67 +8314,67 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_4/Z BUF_X4 fanout = 1 drvr_index = 12 +[DEBUG RSZ-repair_setup] u1/u4/Z BUF_X4 fanout = 1 drvr_index = 12 [DEBUG RSZ-repair_setup] No change after 0 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.527 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_4/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_5/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r4/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r5/D slack = -0.287 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 12 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_5/Z load_delay = 0.041 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_5/Z BUF_X1 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_5/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_5 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u5/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u5 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.284 worst_slack = -0.567 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_5/Z load_delay = 0.038 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_5/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u5/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u5/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.75, 7.79) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.75, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -8475,35 +8445,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -8515,26 +8485,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_5/D no changes after 1 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_6/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r5/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r6/D slack = -0.287 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 13 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_6/Z load_delay = 0.041 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_6/Z BUF_X1 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_6/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_6 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u6/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u6/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u6/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u6 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_6/Z load_delay = 0.038 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_6/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u6/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u6/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.61, 9.01) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.61, 9.01) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -8605,35 +8575,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -8645,26 +8615,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_6/D no changes after 1 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_7/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r6/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r7/D slack = -0.287 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 14 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_7/Z load_delay = 0.041 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_7/Z BUF_X1 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_7/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_7 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u7/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u7/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u7/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u7 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_7/Z load_delay = 0.038 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_7/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u7/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u7/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.31, 7.79) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.31, 7.79) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -8735,35 +8705,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -8775,14 +8745,14 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_7/D no changes after 1 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_8/D slack = -0.490 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r7/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r8/D slack = -0.490 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 15 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.087 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.059 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.031 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X1 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X1 -> BUF_X2 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X1) @@ -8791,7 +8761,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.029 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.049 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.031 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] resize rebuffer8/Z BUF_X2 -> BUF_X4 [DEBUG RSZ-journal] journal replace rebuffer8 (BUF_X2) @@ -8800,7 +8770,7 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.047 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.043 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.031 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.031 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X8 fanout = 3 drvr_index = 6 [DEBUG RSZ-repair_setup] resize rebuffer2/Z BUF_X8 -> BUF_X16 @@ -8810,12 +8780,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.034 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.024 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.024 intrinsic_delay = 0.034 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.82, 6.21) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.82, 6.21) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.088 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.088 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.105 req 0.096 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.096 buffers 1 @@ -8857,30 +8827,30 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -8950,55 +8920,55 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X1 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_8/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_8 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u8/Z BUF_X1 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u8/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u8 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 4 slack = -0.431 worst_slack = -0.575 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.035 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.021 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.021 intrinsic_delay = 0.033 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.84, 6.21) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.84, 6.21) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.086 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.086 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.873 req 0.097 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.097 buffers 1 @@ -9040,30 +9010,30 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -9133,55 +9103,55 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X2 fanout = 1 drvr_index = 8 -[DEBUG RSZ-repair_setup] resize u1/u1_8/Z BUF_X2 -> BUF_X4 -[DEBUG RSZ-journal] journal replace u1/u1_8 (BUF_X2) +[DEBUG RSZ-repair_setup] u1/u8/Z BUF_X2 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] resize u1/u8/Z BUF_X2 -> BUF_X4 +[DEBUG RSZ-journal] journal replace u1/u8 (BUF_X2) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 5 slack = -0.425 worst_slack = -0.575 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.033 intrinsic_delay = 0.413 [DEBUG RSZ-repair_setup] rebuffer8/Z load_delay = 0.060 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer2/Z load_delay = 0.035 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_8/Z load_delay = 0.017 intrinsic_delay = 0.032 +[DEBUG RSZ-repair_setup] u1/u8/Z load_delay = 0.017 intrinsic_delay = 0.032 [DEBUG RSZ-repair_setup] rebuffer8/Z BUF_X4 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] rebuffer2/Z BUF_X16 fanout = 3 drvr_index = 6 -[DEBUG RSZ-make_buffered_net] load u1/u1_8/A (9.81, 6.21) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_11/A (16.27, 0.61) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_12/A (16.85, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u8/A (9.81, 6.21) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u12/A (16.27, 0.61) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u13/A (16.85, 0.61) cap 0.983 req INF [DEBUG RSZ-rebuffer] driver rebuffer2/Z [DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.079 -> buffer (12.09, 6.21) BUF_X1 cap 0.935 req 0.079 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 3.423 req 0.096 -> buffer (12.09, 6.21) BUF_X2 cap 1.704 req 0.096 buffers 1 @@ -9224,30 +9194,30 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_8/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 219 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 219 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to net 24 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 219 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u8/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 235 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 235 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to net 24 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 235 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_11/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u12/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 175 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 175 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 175 to modnet 2 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_12/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u13/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 179 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 179 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to net 24 [DEBUG ODB-DB_ECO] ECO: connect Iterm 179 to modnet 2 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.97, 8.40) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -9317,67 +9287,67 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-repair_setup] u1/u1_8/Z BUF_X4 fanout = 1 drvr_index = 8 +[DEBUG RSZ-repair_setup] u1/u8/Z BUF_X4 fanout = 1 drvr_index = 8 [DEBUG RSZ-repair_setup] No change after 5 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.490 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 3 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_8/D no changes after 5 decreasing passes -[DEBUG RSZ-repair_setup] u1/r2_9/D slack = -0.287 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r8/D no changes after 5 decreasing passes +[DEBUG RSZ-repair_setup] u1/r9/D slack = -0.287 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 16 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_9/Z load_delay = 0.041 intrinsic_delay = 0.034 -[DEBUG RSZ-repair_setup] u1/u1_9/Z BUF_X1 fanout = 1 drvr_index = 4 -[DEBUG RSZ-repair_setup] resize u1/u1_9/Z BUF_X1 -> BUF_X2 -[DEBUG RSZ-journal] journal replace u1/u1_9 (BUF_X1) +[DEBUG RSZ-repair_setup] u1/u9/Z load_delay = 0.041 intrinsic_delay = 0.034 +[DEBUG RSZ-repair_setup] u1/u9/Z BUF_X1 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] resize u1/u9/Z BUF_X1 -> BUF_X2 +[DEBUG RSZ-journal] journal replace u1/u9 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster [DEBUG RSZ-repair_setup] pass 1 slack = -0.283 worst_slack = -0.567 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 -[DEBUG RSZ-repair_setup] u1/u1_9/Z load_delay = 0.038 intrinsic_delay = 0.033 -[DEBUG RSZ-repair_setup] u1/u1_9/Z BUF_X2 fanout = 1 drvr_index = 4 +[DEBUG RSZ-repair_setup] u1/u9/Z load_delay = 0.038 intrinsic_delay = 0.033 +[DEBUG RSZ-repair_setup] u1/u9/Z BUF_X2 fanout = 1 drvr_index = 4 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.18, 9.01) cap 1.811 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.18, 9.01) cap 1.811 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -9448,35 +9418,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -9488,8 +9458,8 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r2_9/D no changes after 1 decreasing passes -[DEBUG RSZ-repair_setup] u1/r3/D slack = -0.502 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r9/D no changes after 1 decreasing passes +[DEBUG RSZ-repair_setup] u1/r22/D slack = -0.502 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 17 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -9674,11 +9644,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -9749,35 +9719,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -9785,12 +9755,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] split4/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r10/D (4.12, 7.78) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r9/D (0.89, 10.59) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r3/D (7.35, 6.22) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r11/D (7.35, 4.99) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r12/D (10.58, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/r8/D (13.81, 0.61) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r27/D (4.12, 7.78) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r31/D (0.89, 10.59) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r22/D (7.35, 6.22) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r28/D (7.35, 4.99) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r29/D (10.58, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r30/D (13.81, 0.61) cap 1.158 req INF [DEBUG RSZ-rebuffer] driver split4/Z [DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.108 -> buffer (7.35, 7.78) BUF_X1 cap 0.935 req 0.108 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 5.461 req 0.134 -> buffer (7.35, 7.78) BUF_X2 cap 1.704 req 0.134 buffers 1 @@ -9819,51 +9789,51 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r10/D to net20_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 7 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 7 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 7 to modnet 7 +[DEBUG RSZ-rebuffer] connect load u1/r27/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 91 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 91 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 91 to modnet 7 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r9/D to net20_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 157 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 157 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 157 to modnet 7 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r3/D to net20_i +[DEBUG RSZ-rebuffer] connect load u1/r31/D to net20_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 26 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 7 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/r22/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 7 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r11/D to net20_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 13 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 13 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 13 to modnet 7 +[DEBUG RSZ-rebuffer] connect load u1/r28/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 7 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r12/D to net20_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 19 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 19 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 19 to modnet 7 +[DEBUG RSZ-rebuffer] connect load u1/r29/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 7 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r8/D to net20_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 151 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 151 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to net 26 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 151 to modnet 7 +[DEBUG RSZ-rebuffer] connect load u1/r30/D to net20_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 26 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 7 [DEBUG RSZ-repair_setup] No change after 3 decreasing slack passes. [DEBUG RSZ-repair_setup] Restoring best slack end slack -0.502 worst slack -0.566 [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r3/D no changes after 3 decreasing passes -[DEBUG RSZ-repair_setup] u1/r4/D slack = -0.417 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r22/D no changes after 3 decreasing passes +[DEBUG RSZ-repair_setup] u1/r23/D slack = -0.417 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 18 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -9911,12 +9881,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 @@ -9988,11 +9958,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -10004,36 +9974,36 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -10103,35 +10073,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -10177,8 +10147,8 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-journal] journal end -[DEBUG RSZ-repair_setup] bailing out u1/r4/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r5/D slack = -0.417 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r23/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r24/D slack = -0.417 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 19 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -10226,12 +10196,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 @@ -10303,11 +10273,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -10319,36 +10289,36 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -10418,35 +10388,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -10492,8 +10462,8 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-journal] journal end -[DEBUG RSZ-repair_setup] bailing out u1/r5/D no changes after 0 decreasing passes -[DEBUG RSZ-repair_setup] u1/r6/D slack = -0.566 worst_slack = -0.566 +[DEBUG RSZ-repair_setup] bailing out u1/r24/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] u1/r25/D slack = -0.566 worst_slack = -0.566 [DEBUG RSZ-repair_setup] Doing 20 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -10853,11 +10823,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -10927,35 +10897,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -10969,8 +10939,8 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 1 sizing 1 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r6/D no changes after 2 decreasing passes -[DEBUG RSZ-repair_setup] u1/r7/D slack = -0.425 worst_slack = -0.529 +[DEBUG RSZ-repair_setup] bailing out u1/r25/D no changes after 2 decreasing passes +[DEBUG RSZ-repair_setup] u1/r26/D slack = -0.425 worst_slack = -0.529 [DEBUG RSZ-repair_setup] Doing 21 /21 [DEBUG RSZ-journal] journal begin [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.027 intrinsic_delay = 0.413 @@ -11121,12 +11091,12 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] rebuffer5/Z BUF_X8 fanout = 6 drvr_index = 8 -[DEBUG RSZ-make_buffered_net] load u1/r7/D (4.12, 9.01) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/r26/D (4.12, 9.01) cap 1.158 req INF [DEBUG RSZ-make_buffered_net] load u1/u1/A (10.38, 4.99) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r4/D (10.58, 3.41) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_10/A (13.03, 3.41) cap 3.446 req INF -[DEBUG RSZ-make_buffered_net] load u1/r5/D (13.81, 2.19) cap 1.158 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_1/A (10.95, 4.99) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r23/D (10.58, 3.41) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u11/A (13.03, 3.41) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/r24/D (13.81, 2.19) cap 1.158 req INF +[DEBUG RSZ-make_buffered_net] load u1/u10/A (10.95, 4.99) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver rebuffer5/Z [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.140 -> buffer (9.81, 9.01) BUF_X1 cap 0.935 req 0.140 buffers 1 [DEBUG RSZ-rebuffer] buffer cap 1.536 req 0.149 -> buffer (9.81, 9.01) BUF_X2 cap 1.704 req 0.149 buffers 1 @@ -11198,11 +11168,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r7/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 145 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 145 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 145 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r26/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] connect load u1/u1/A to net2_i @@ -11214,26 +11184,26 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/r4/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 127 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 127 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 127 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r23/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_10/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u11/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 27 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/r5/D to net2_i -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 133 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 133 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to net 27 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 133 to modnet 5 +[DEBUG RSZ-rebuffer] connect load u1/r24/D to net2_i +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 27 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] connect load u1/u1_1/A to net2_i +[DEBUG RSZ-rebuffer] connect load u1/u10/A to net2_i [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 27 @@ -11280,11 +11250,11 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-repair_setup] r1/Q DFF_X2 fanout = 7 drvr_index = 2 -[DEBUG RSZ-make_buffered_net] load u1/u1_6/A (6.59, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_9/A (7.15, 9.01) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_5/A (7.72, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_7/A (8.30, 7.79) cap 0.983 req INF -[DEBUG RSZ-make_buffered_net] load u1/u1_15/A (8.85, 7.79) cap 3.446 req INF +[DEBUG RSZ-make_buffered_net] load u1/u6/A (6.59, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u9/A (7.15, 9.01) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u5/A (7.72, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u7/A (8.30, 7.79) cap 0.983 req INF +[DEBUG RSZ-make_buffered_net] load u1/u16/A (8.85, 7.79) cap 3.446 req INF [DEBUG RSZ-make_buffered_net] load rebuffer8/A (8.98, 8.40) cap 0.983 req INF [DEBUG RSZ-make_buffered_net] load rebuffer7/A (6.70, 11.13) cap 3.446 req INF [DEBUG RSZ-rebuffer] driver r1/Q @@ -11354,35 +11324,35 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-rebuffer] junction [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_6/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 211 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 211 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 211 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u6/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 227 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 227 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 227 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_9/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u9/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 239 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 239 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 239 to modnet 2 +[DEBUG RSZ-rebuffer] wire +[DEBUG RSZ-rebuffer] junction +[DEBUG RSZ-rebuffer] connect load u1/u5/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 223 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 223 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to net 2 [DEBUG ODB-DB_ECO] ECO: connect Iterm 223 to modnet 2 -[DEBUG RSZ-rebuffer] wire -[DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_5/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 207 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 207 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 207 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_7/A to r1q -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 215 -[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 215 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to net 2 -[DEBUG ODB-DB_ECO] ECO: connect Iterm 215 to modnet 2 +[DEBUG RSZ-rebuffer] connect load u1/u7/A to r1q +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm 231 +[DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 231 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to net 2 +[DEBUG ODB-DB_ECO] ECO: connect Iterm 231 to modnet 2 [DEBUG RSZ-rebuffer] wire [DEBUG RSZ-rebuffer] junction -[DEBUG RSZ-rebuffer] connect load u1/u1_15/A to r1q +[DEBUG RSZ-rebuffer] connect load u1/u16/A to r1q [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 191 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 191 [DEBUG ODB-DB_ECO] ECO: connect Iterm 191 to net 2 @@ -11394,8 +11364,10 @@ Factored Connection from split4/Z to u1/r3/D [DEBUG RSZ-journal] journal restore starts >>> [DEBUG RSZ-journal] Undid 0 sizing 0 buffering 0 cloning 0 swaps 0 buf removal [DEBUG RSZ-journal] journal restore ends <<< -[DEBUG RSZ-repair_setup] bailing out u1/r7/D no changes after 0 decreasing passes +[DEBUG RSZ-repair_setup] bailing out u1/r26/D no changes after 0 decreasing passes [INFO RSZ-0045] Inserted 11 buffers, 1 to split loads. [INFO RSZ-0041] Resized 51 instances. [WARNING RSZ-0062] Unable to repair all setup violations. -No differences found. +Differences found at line 44. + DFF_X1 r1 (.D(\u1/u6z ), + DFF_X1 r10 (.D(net20_i)); diff --git a/src/rsz/test/split_load_hier.v b/src/rsz/test/split_load_hier.v index 2ee98cd7cfe..2571a2e8005 100644 --- a/src/rsz/test/split_load_hier.v +++ b/src/rsz/test/split_load_hier.v @@ -41,106 +41,106 @@ module submodule(input r1q, BUF_X1 u1 (.A(r1q), .Z(u6z)); - DFF_X1 r2 (.D(u6z), + DFF_X1 r1 (.D(u6z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u2 (.A(r1q), .Z(u7z)); DFF_X1 r2 (.D(u7z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u3 (.A(r1q), .Z(u8z)); - DFF_X1 r2 (.D(u8z), + DFF_X1 r3 (.D(u8z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u4 (.A(r1q), .Z(u9z)); - DFF_X1 r2 (.D(u9z), + DFF_X1 r4 (.D(u9z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u5 (.A(r1q), .Z(u10z)); - DFF_X1 r2 (.D(u10z), + DFF_X1 r5 (.D(u10z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u6 (.A(r1q), .Z(u11z)); - DFF_X1 r2 (.D(u11z), + DFF_X1 r6 (.D(u11z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u7 (.A(r1q), .Z(u12z)); - DFF_X1 r2 (.D(u12z), + DFF_X1 r7 (.D(u12z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u8 (.A(r1q), .Z(u13z)); - DFF_X1 r2 (.D(u13z), + DFF_X1 r8 (.D(u13z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u9 (.A(r1q), .Z(u14z)); - DFF_X1 r2 (.D(u14z), + DFF_X1 r9 (.D(u14z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u10 (.A(r1q), .Z(u15z)); - DFF_X1 r2 (.D(u15z), + DFF_X1 r10 (.D(u15z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u11 (.A(r1q), .Z(u16z)); - DFF_X1 r2 (.D(u16z), + DFF_X1 r11 (.D(u16z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u12 (.A(r1q), .Z(u17z)); - DFF_X1 r2 (.D(u17z), + DFF_X1 r12 (.D(u17z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u13 (.A(r1q), .Z(u18z)); - DFF_X1 r2 (.D(u18z), + DFF_X1 r13 (.D(u18z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u14 (.A(r1q), .Z(u19z)); - DFF_X1 r2 (.D(u19z), + DFF_X1 r14 (.D(u19z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u15 (.A(r1q), .Z(u20z)); - DFF_X1 r2 (.D(u20z), + DFF_X1 r15 (.D(u20z), .CK(clk)); - BUF_X1 u1 (.A(r1q), + BUF_X1 u16 (.A(r1q), .Z(u1z)); - BUF_X1 u2 (.A(u1z), + BUF_X1 u17 (.A(u1z), .Z(u2z)); - BUF_X1 u3 (.A(u2z), + BUF_X1 u18 (.A(u2z), .Z(u3z)); - BUF_X1 u4 (.A(u3z), + BUF_X1 u19 (.A(u3z), .Z(u4z)); - BUF_X1 u5 (.A(u4z), + BUF_X1 u20 (.A(u4z), .Z(u5z)); - DFF_X1 r2 (.D(u5z), + DFF_X1 r21 (.D(u5z), .CK(clk)); - DFF_X1 r3 (.D(r1q), + DFF_X1 r22 (.D(r1q), .CK(clk)); - DFF_X1 r4 (.D(r1q), + DFF_X1 r23 (.D(r1q), .CK(clk)); - DFF_X1 r5 (.D(r1q), + DFF_X1 r24 (.D(r1q), .CK(clk)); - DFF_X1 r6 (.D(r1q), + DFF_X1 r25 (.D(r1q), .CK(clk)); - DFF_X1 r7 (.D(r1q), + DFF_X1 r26 (.D(r1q), .CK(clk)); - DFF_X1 r8 (.D(r1q)); - DFF_X1 r9 (.D(r1q)); - DFF_X1 r10 (.D(r1q)); - DFF_X1 r11 (.D(r1q)); - DFF_X1 r12 (.D(r1q)); + DFF_X1 r27 (.D(r1q)); + DFF_X1 r28 (.D(r1q)); + DFF_X1 r29 (.D(r1q)); + DFF_X1 r30 (.D(r1q)); + DFF_X1 r31 (.D(r1q)); endmodule From 8d0f598e8dae309894a3f53153d9ad51f80d287d Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 06:58:26 -0700 Subject: [PATCH 10/19] Removed unused vars Signed-off-by: andyfox-rushc --- src/rsz/src/Rebuffer.cc | 8 -------- 1 file changed, 8 deletions(-) diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index 4fd027f2b0b..c6353ae30ac 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -601,14 +601,6 @@ int RepairSetup::rebufferTopDown(const BufferedNetPtr& choice, (void) db_load_net; if (load_net != net) { - Instance* load_inst = db_network_->instance(load_pin); - - Instance* load_parent - = db_network_->getOwningInstanceParent(const_cast(load_pin)); - - Port* load_port = db_network_->port(load_pin); - - // needed. odb::dbITerm* load_iterm = nullptr; odb::dbBTerm* load_bterm = nullptr; odb::dbModITerm* load_moditerm = nullptr; From bc34e511786596e3c9227aed1121a364ce98a76e Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 07:01:19 -0700 Subject: [PATCH 11/19] ctidy clean ups Signed-off-by: andyfox-rushc --- src/rsz/src/Rebuffer.cc | 3 --- 1 file changed, 3 deletions(-) diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index c6353ae30ac..0e81fe878f0 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -140,9 +140,6 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) drvr_op_moditerm, drvr_op_modbterm); - if (db_modnet) - assert(drvr_op_iterm); - if (db_net && db_modnet) { // as we move the modnet and dbnet around we will get a clash //(the dbNet name now exposed is the same as the modnet name) From 6c775aefae60903166a5bd5d5d0e3bdd6f2d44af Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 12:19:34 -0700 Subject: [PATCH 12/19] ctidy fixes Signed-off-by: andyfox-rushc --- src/dbSta/src/dbNetwork.cc | 2 +- src/rsz/src/RepairSetup.cc | 97 -------------------------------------- 2 files changed, 1 insertion(+), 98 deletions(-) diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index 7fca8ab64d2..fcc63c97c08 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -2820,7 +2820,7 @@ class PinModuleConnection : public PinVisitor PinModuleConnection(const dbNetwork* nwk, const Pin* drvr_pin, const dbModule* target_module_); - virtual void operator()(const Pin* pin); + virtual void operator()(const Pin* pin) override; protected: const dbNetwork* db_network_; diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 9d56ad898dc..0b559644b14 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -1325,103 +1325,6 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, return true; } -/* -void RepairSetup::splitLoads(const PathRef* drvr_path, - const int drvr_index, - const Slack drvr_slack, - PathExpanded* expanded) -{ - Pin* drvr_pin = drvr_path->pin(this); - const PathRef* load_path = expanded->path(drvr_index + 1); - Vertex* load_vertex = load_path->vertex(sta_); - Pin* load_pin = load_vertex->pin(); - // Divide and conquer. - debugPrint(logger_, - RSZ, - "repair_setup", - 3, - "split loads {} -> {}", - network_->pathName(drvr_pin), - network_->pathName(load_pin)); - - Vertex* drvr_vertex = drvr_path->vertex(sta_); - const RiseFall* rf = drvr_path->transition(sta_); - // Sort fanouts of the drvr on the critical path by slack margin - // wrt the critical path slack. - vector> fanout_slacks; - VertexOutEdgeIterator edge_iter(drvr_vertex, graph_); - while (edge_iter.hasNext()) { - Edge* edge = edge_iter.next(); - // Watch out for problematic asap7 output->output timing arcs. - if (edge->isWire()) { - Vertex* fanout_vertex = edge->to(graph_); - const Slack fanout_slack = sta_->vertexSlack(fanout_vertex, rf, max_); - const Slack slack_margin = fanout_slack - drvr_slack; - debugPrint(logger_, - RSZ, - "repair_setup", - 4, - " fanin {} slack_margin = {}", - network_->pathName(fanout_vertex->pin()), - delayAsString(slack_margin, sta_, 3)); - fanout_slacks.emplace_back(fanout_vertex, slack_margin); - } - } - - sort(fanout_slacks.begin(), - fanout_slacks.end(), - [=](const pair& pair1, - const pair& pair2) { - return (pair1.second > pair2.second - || (pair1.second == pair2.second - && network_->pathNameLess(pair1.first->pin(), - pair2.first->pin()))); - }); - - Net* net = network_->net(drvr_pin); - const string buffer_name = resizer_->makeUniqueInstName("split"); - Instance* parent = db_network_->topInstance(); - LibertyCell* buffer_cell = resizer_->buffer_lowest_drive_; - const Point drvr_loc = db_network_->location(drvr_pin); - Instance* buffer = resizer_->makeBuffer( - buffer_cell, buffer_name.c_str(), parent, drvr_loc); - inserted_buffer_count_++; - - Net* out_net = resizer_->makeUniqueNet(); - LibertyPort *input, *output; - buffer_cell->bufferPorts(input, output); - - // Split the loads with extra slack to an inserted buffer. - // before - // drvr_pin -> net -> load_pins - // after - // drvr_pin -> net -> load_pins with low slack - // -> buffer_in -> net -> rest of loads - sta_->connectPin(buffer, input, net); - resizer_->parasiticsInvalid(net); - sta_->connectPin(buffer, output, out_net); - const int split_index = fanout_slacks.size() / 2; - for (int i = 0; i < split_index; i++) { - pair fanout_slack = fanout_slacks[i]; - Vertex* load_vertex = fanout_slack.first; - Pin* load_pin = load_vertex->pin(); - // Leave ports connected to original net so verilog port names are - // preserved. - if (!network_->isTopLevelPort(load_pin)) { - LibertyPort* load_port = network_->libertyPort(load_pin); - Instance* load = network_->instance(load_pin); - - sta_->disconnectPin(load_pin); - sta_->connectPin(load, load_port, out_net); - } - } - Pin* buffer_out_pin = network_->findPin(buffer, output); - resizer_->resizeToTargetSlew(buffer_out_pin); - resizer_->parasiticsInvalid(net); - resizer_->parasiticsInvalid(out_net); -} -*/ - void RepairSetup::splitLoads(const PathRef* drvr_path, const int drvr_index, const Slack drvr_slack, From 6a2bfbe4b120c8b23dee3ee1f9eed5483e212239 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 12:52:02 -0700 Subject: [PATCH 13/19] Ctidy suggestion, remove virtual for override Signed-off-by: andyfox-rushc --- src/dbSta/src/dbNetwork.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index fcc63c97c08..f4becd8ddf8 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -2820,7 +2820,7 @@ class PinModuleConnection : public PinVisitor PinModuleConnection(const dbNetwork* nwk, const Pin* drvr_pin, const dbModule* target_module_); - virtual void operator()(const Pin* pin) override; + void operator()(const Pin* pin) override; protected: const dbNetwork* db_network_; From e1f044450a2eb64bbfffb7446d30df28bcf19934 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 14:28:19 -0700 Subject: [PATCH 14/19] Regolden split_load_hier to remove extraneous print Signed-off-by: andyfox-rushc --- src/rsz/test/split_load_hier.ok | 17 ----------------- 1 file changed, 17 deletions(-) diff --git a/src/rsz/test/split_load_hier.ok b/src/rsz/test/split_load_hier.ok index 7987a219616..f794e97c85f 100644 --- a/src/rsz/test/split_load_hier.ok +++ b/src/rsz/test/split_load_hier.ok @@ -103,62 +103,50 @@ tns -7.917 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 [DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 23 -Factored Connection from split1/Z to u1/r28/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 [DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 23 -Factored Connection from split1/Z to u1/r29/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 [DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 23 -Factored Connection from split1/Z to u1/r30/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 23 -Factored Connection from split1/Z to u1/r31/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 [DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 23 -Factored Connection from split1/Z to u1/r22/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 67 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 67 [DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to net 23 -Factored Connection from split1/Z to u1/r23/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 67 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 73 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 73 [DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to net 23 -Factored Connection from split1/Z to u1/r24/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 73 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 79 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 79 [DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to net 23 -Factored Connection from split1/Z to u1/r25/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 79 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 85 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 85 [DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to net 23 -Factored Connection from split1/Z to u1/r26/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 85 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 163 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 163 [DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to net 23 -Factored Connection from split1/Z to u1/u1/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 163 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 167 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 167 [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to net 23 -Factored Connection from split1/Z to u1/u10/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 167 to modnet 5 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 171 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 171 [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to net 23 -Factored Connection from split1/Z to u1/u11/A [DEBUG ODB-DB_ECO] ECO: connect Iterm 171 to modnet 5 [DEBUG RSZ-journal] journal replace split1 (BUF_X1) [DEBUG ODB-DB_ECO] ECO: swapMaster @@ -1000,27 +988,22 @@ Factored Connection from split1/Z to u1/u11/A [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 97 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 97 [DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to net 26 -Factored Connection from split4/Z to u1/r28/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 97 to modnet 7 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 103 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 103 [DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to net 26 -Factored Connection from split4/Z to u1/r29/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 103 to modnet 7 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 115 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 115 [DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to net 26 -Factored Connection from split4/Z to u1/r30/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 115 to modnet 7 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 121 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 121 [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to net 26 -Factored Connection from split4/Z to u1/r31/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 121 to modnet 7 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm 61 [DEBUG ODB-DB_ECO] ECO: disconnect Iterm -- modnet part 61 [DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to net 26 -Factored Connection from split4/Z to u1/r22/D [DEBUG ODB-DB_ECO] ECO: connect Iterm 61 to modnet 7 [DEBUG RSZ-repair_setup] pass 3 slack = -0.359 worst_slack = -0.518 [DEBUG RSZ-repair_setup] r1/Q load_delay = 0.039 intrinsic_delay = 0.413 From 1b2760302c88118dbf6dd38cc114b85e9833fd30 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Tue, 29 Oct 2024 15:19:54 -0700 Subject: [PATCH 15/19] Removed debug code checking for bad update parasitic on modnet Signed-off-by: andyfox-rushc --- src/rsz/src/EstimateWireParasitics.cc | 4 ---- 1 file changed, 4 deletions(-) diff --git a/src/rsz/src/EstimateWireParasitics.cc b/src/rsz/src/EstimateWireParasitics.cc index e5772ad66a4..1e3f722778b 100644 --- a/src/rsz/src/EstimateWireParasitics.cc +++ b/src/rsz/src/EstimateWireParasitics.cc @@ -746,10 +746,6 @@ void Resizer::parasiticsInvalid(const Net* net) odb::dbNet* db_net = nullptr; odb::dbModNet* db_modnet = nullptr; db_network_->staToDb(net, db_net, db_modnet); - if (db_modnet) { - printf("Error -- cannot update parastics from a db modnet\n"); - exit(0); - } if (haveEstimatedParasitics()) { debugPrint(logger_, From 9c89a1a3ab17d43d070e8f85aced08bf7d9b1948 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Thu, 31 Oct 2024 16:37:31 -0700 Subject: [PATCH 16/19] First round of review comments Signed-off-by: andyfox-rushc --- src/dbSta/include/db_sta/dbNetwork.hh | 3 ++ src/dbSta/src/dbNetwork.cc | 48 ++++++++++++++++----------- src/dbSta/src/dbReadVerilog.cc | 18 +++++++++- src/odb/include/odb/db.h | 2 +- src/odb/src/db/dbJournal.cpp | 2 +- src/odb/src/db/dbModNet.cpp | 7 ++-- src/rsz/src/EstimateWireParasitics.cc | 7 ++-- src/rsz/src/OdbCallBack.cc | 6 ++-- src/rsz/src/Rebuffer.cc | 2 +- src/rsz/src/RepairSetup.cc | 7 ---- src/rsz/src/SteinerTree.cc | 10 +----- 11 files changed, 60 insertions(+), 52 deletions(-) diff --git a/src/dbSta/include/db_sta/dbNetwork.hh b/src/dbSta/include/db_sta/dbNetwork.hh index 2f59cd234f7..31fd109d186 100644 --- a/src/dbSta/include/db_sta/dbNetwork.hh +++ b/src/dbSta/include/db_sta/dbNetwork.hh @@ -132,6 +132,7 @@ class dbNetwork : public ConcreteNetwork dbNet* staToDb(const Net* net) const; void staToDb(const Net* net, dbNet*& dnet, dbModNet*& modnet) const; + dbNet* flatNet(const Net* pin) const; dbBTerm* staToDb(const Term* term) const; void staToDb(const Term* term, @@ -239,6 +240,8 @@ class dbNetwork : public ConcreteNetwork Instance* instance(const Pin* pin) const override; Net* net(const Pin* pin) const override; void net(const Pin* pin, dbNet*& db_net, dbModNet*& db_modnet) const; + dbNet* flatNet(const Pin* pin) const; + Term* term(const Pin* pin) const override; PortDirection* direction(const Pin* pin) const override; VertexId vertexId(const Pin* pin) const override; diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index f4becd8ddf8..e3c42b2cc84 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -1188,6 +1188,18 @@ Net* dbNetwork::net(const Pin* pin) const return nullptr; } +/* +Get the db net (flat net) for the pin +*/ + +dbNet* dbNetwork::flatNet(const Pin* pin) const +{ + dbNet* db_net; + dbModNet* db_modnet; + net(pin, db_net, db_modnet); + return db_net; +} + /* Get the dbnet or the moddbnet for a pin Sometimes a pin can be hooked to both and we want to expose them @@ -1234,16 +1246,7 @@ Term* dbNetwork::term(const Pin* pin) const return dbToStaTerm(bterm); } if (moditerm) { - // get the mod bterm - std::string port_name_str = moditerm->getName(); - size_t last_idx = port_name_str.find_last_of('/'); - if (last_idx != string::npos) { - port_name_str = port_name_str.substr(last_idx + 1); - } - const char* port_name = port_name_str.c_str(); - dbModInst* mod_inst = moditerm->getParent(); - dbModule* module = mod_inst->getMaster(); - dbModBTerm* mod_port = module->findModBTerm(port_name); + dbModBTerm* mod_port = moditerm->getChildModBTerm(); if (mod_port) { Term* ret = dbToStaTerm(mod_port); return ret; @@ -2193,6 +2196,18 @@ dbNet* dbNetwork::staToDb(const Net* net) const return reinterpret_cast(const_cast(net)); } +dbNet* dbNetwork::flatNet(const Net* net) const +{ + if (net) { + dbObject* obj = reinterpret_cast(const_cast(net)); + dbObjectType type = obj->getObjectType(); + if (type == odb::dbNetObj) { + return static_cast(obj); + } + } + return nullptr; +} + void dbNetwork::staToDb(const Net* net, dbNet*& dnet, dbModNet*& modnet) const { dnet = nullptr; @@ -2851,16 +2866,9 @@ void PinModuleConnection::operator()(const Pin* pin) (void) (bterm); (void) (modbterm); if (moditerm) { - std::string port_name_str = moditerm->getName(); - size_t last_idx = port_name_str.find_last_of('/'); - if (last_idx != string::npos) { - port_name_str = port_name_str.substr(last_idx + 1); - } - const char* port_name = port_name_str.c_str(); - dbModInst* mod_inst = moditerm->getParent(); - dbModule* module = mod_inst->getMaster(); - if (module == target_module_) { - dest_modbterm_ = module->findModBTerm(port_name); + dbModBTerm* modbterm = moditerm->getChildModBTerm(); + if (modbterm->getParent() == target_module_) { + dest_modbterm_ = modbterm; } } } diff --git a/src/dbSta/src/dbReadVerilog.cc b/src/dbSta/src/dbReadVerilog.cc index 0aa3dd7d882..6b657699386 100644 --- a/src/dbSta/src/dbReadVerilog.cc +++ b/src/dbSta/src/dbReadVerilog.cc @@ -430,13 +430,29 @@ void Verilog2db::makeDbModule( } } module->getModBTerms().reverse(); - // make the instance iterms + + // make the instance iterms and set up their reference + // to the child ports (dbModBTerms). + InstancePinIterator* ip_iter = network_->pinIterator(inst); while (ip_iter->hasNext()) { Pin* cur_pin = ip_iter->next(); std::string pin_name_string = network_->portName(cur_pin); + // + // we do not need to store the pin names.. But they are + // assumed to exist in the STA world. + // dbModITerm* moditerm = dbModITerm::create(modinst, pin_name_string.c_str()); + dbModBTerm* modbterm; + std::string port_name_str = pin_name_string; + size_t last_idx = port_name_str.find_last_of('/'); + if (last_idx != string::npos) { + port_name_str = port_name_str.substr(last_idx + 1); + } + dbModule* module = modinst->getMaster(); + modbterm = module->findModBTerm(port_name_str.c_str()); + moditerm->setChildModBTerm(modbterm); (void) moditerm; debugPrint(logger_, utl::ODB, diff --git a/src/odb/include/odb/db.h b/src/odb/include/odb/db.h index 4e35dfb6d14..178322f23b2 100644 --- a/src/odb/include/odb/db.h +++ b/src/odb/include/odb/db.h @@ -8152,7 +8152,7 @@ class dbModNet : public dbObject dbSet getBTerms(); const char* getName() const; - void reName(const char* new_name); + void rename(const char* new_name); static dbModNet* getModNet(dbBlock* block, uint id); static dbModNet* create(dbModule* parentModule, const char* name); static void destroy(dbModNet*); diff --git a/src/odb/src/db/dbJournal.cpp b/src/odb/src/db/dbJournal.cpp index d2651f40683..281bbc20007 100644 --- a/src/odb/src/db/dbJournal.cpp +++ b/src/odb/src/db/dbJournal.cpp @@ -1634,7 +1634,7 @@ void dbJournal::undo_connectObject() dbITerm* iterm = dbITerm::getITerm(_block, iterm_id); uint net_id; _log.pop(net_id); - // disconnects everything modnet and bnet) + // disconnects everything: modnet and dbnet iterm->disconnect(); break; } diff --git a/src/odb/src/db/dbModNet.cpp b/src/odb/src/db/dbModNet.cpp index 211bc412547..a4c22f17581 100644 --- a/src/odb/src/db/dbModNet.cpp +++ b/src/odb/src/db/dbModNet.cpp @@ -230,13 +230,14 @@ const char* dbModNet::getName() const // // Support for renaming hierarchical nets // -void dbModNet::reName(const char* new_name) +void dbModNet::rename(const char* new_name) { _dbModNet* obj = (_dbModNet*) this; - delete (obj->_name); - obj->_name = strdup(new_name); _dbBlock* block = (_dbBlock*) obj->getOwner(); _dbModule* parent = block->_module_tbl->getPtr(obj->_parent); + parent->_modnet_hash.erase(obj->_name); + free(obj->_name); + obj->_name = strdup(new_name); parent->_modnet_hash[new_name] = obj->getOID(); } diff --git a/src/rsz/src/EstimateWireParasitics.cc b/src/rsz/src/EstimateWireParasitics.cc index 1e3f722778b..6df73debb03 100644 --- a/src/rsz/src/EstimateWireParasitics.cc +++ b/src/rsz/src/EstimateWireParasitics.cc @@ -743,10 +743,7 @@ bool Resizer::isPad(const Instance* inst) const void Resizer::parasiticsInvalid(const Net* net) { - odb::dbNet* db_net = nullptr; - odb::dbModNet* db_modnet = nullptr; - db_network_->staToDb(net, db_net, db_modnet); - + odb::dbNet* db_net = db_network_->flatNet(net); if (haveEstimatedParasitics()) { debugPrint(logger_, RSZ, @@ -754,7 +751,7 @@ void Resizer::parasiticsInvalid(const Net* net) 2, "parasitics invalid {}", network_->pathName(net)); - parasitics_invalid_.insert(net); + parasitics_invalid_.insert(db_network_->dbToSta(db_net)); } } diff --git a/src/rsz/src/OdbCallBack.cc b/src/rsz/src/OdbCallBack.cc index 4e0dc402484..9a6c44319e0 100644 --- a/src/rsz/src/OdbCallBack.cc +++ b/src/rsz/src/OdbCallBack.cc @@ -150,10 +150,8 @@ void OdbCallBack::inDbInstSwapMasterAfter(dbInst* inst) while (pin_iter->hasNext()) { Pin* pin = pin_iter->next(); Net* net = network_->net(pin); - // we can only update parasitics for low level net - odb::dbNet* db_net = nullptr; - odb::dbModNet* db_modnet = nullptr; - db_network_->staToDb(net, db_net, db_modnet); + // we can only update parasitics for flat net + odb::dbNet* db_net = db_network_->flatNet(net); resizer_->parasiticsInvalid(db_network_->dbToSta(db_net)); } } diff --git a/src/rsz/src/Rebuffer.cc b/src/rsz/src/Rebuffer.cc index 0e81fe878f0..3bdabb4b392 100644 --- a/src/rsz/src/Rebuffer.cc +++ b/src/rsz/src/Rebuffer.cc @@ -145,7 +145,7 @@ int RepairSetup::rebuffer(const Pin* drvr_pin) //(the dbNet name now exposed is the same as the modnet name) // so we uniquify the modnet name std::string new_name = resizer_->makeUniqueNetName(); - db_modnet->reName(new_name.c_str()); + db_modnet->rename(new_name.c_str()); } inserted_buffer_count = rebufferTopDown(best_option, diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 0b559644b14..839d657768d 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -56,13 +56,6 @@ #include "sta/VerilogWriter.hh" #include "utl/Logger.h" -/*void -writeVerilog(const char *filename, - bool sort, - bool include_pwr_gnd, - sta::CellSeq *remove_cells, - sta::Network *network); -*/ namespace rsz { using std::max; diff --git a/src/rsz/src/SteinerTree.cc b/src/rsz/src/SteinerTree.cc index 77ccfa9e422..eb78db41aa4 100644 --- a/src/rsz/src/SteinerTree.cc +++ b/src/rsz/src/SteinerTree.cc @@ -69,16 +69,8 @@ SteinerTree* Resizer::makeSteinerTree(const Pin* drvr_pin) /* Handle hierarchy. Make sure all traversal on dbNets. */ - odb::dbITerm* iterm; - odb::dbBTerm* bterm; - odb::dbModITerm* moditerm; - odb::dbModBTerm* modbterm; - - db_network_->staToDb(drvr_pin, iterm, bterm, moditerm, modbterm); - odb::dbNet* db_net; - odb::dbModNet* db_mod_net; - db_network_->net(drvr_pin, db_net, db_mod_net); + db_net = db_network_->flatNet(drvr_pin); Net* net = network_->isTopLevelPort(drvr_pin) From b31759eebee41cb1ea362540e1d440e14656bb9a Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Fri, 1 Nov 2024 10:56:57 -0700 Subject: [PATCH 17/19] setChildModBTerm for moditerm when creating hierachy Signed-off-by: andyfox-rushc --- src/dbSta/src/dbNetwork.cc | 2 ++ src/dbSta/src/dbReadVerilog.cc | 6 ++++-- src/odb/src/db/dbModITerm.cpp | 1 - 3 files changed, 6 insertions(+), 3 deletions(-) diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index e3c42b2cc84..5413f6e9771 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -2945,6 +2945,7 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, cur_module = parent_inst->getParent(); dbModITerm* mod_iterm = dbModITerm::create(parent_inst, connection_name_o.c_str()); + mod_iterm->setChildModBTerm(mod_bterm); source_db_mod_net = dbModNet::create(cur_module, connection_name); mod_iterm->connect(source_db_mod_net); top_net = source_db_mod_net; @@ -2972,6 +2973,7 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, cur_module = parent_inst->getParent(); dbModITerm* mod_iterm = dbModITerm::create(parent_inst, connection_name_i.c_str()); + mod_iterm->setChildModBTerm(mod_bterm); if (cur_module != highest_common_module) { dest_db_mod_net = dbModNet::create(cur_module, connection_name); mod_iterm->connect(dest_db_mod_net); diff --git a/src/dbSta/src/dbReadVerilog.cc b/src/dbSta/src/dbReadVerilog.cc index 6b657699386..de07cb93318 100644 --- a/src/dbSta/src/dbReadVerilog.cc +++ b/src/dbSta/src/dbReadVerilog.cc @@ -453,13 +453,15 @@ void Verilog2db::makeDbModule( dbModule* module = modinst->getMaster(); modbterm = module->findModBTerm(port_name_str.c_str()); moditerm->setChildModBTerm(modbterm); + (void) moditerm; debugPrint(logger_, utl::ODB, "dbReadVerilog", 1, - "Created module iterm {} ", - moditerm->getName()); + "Created module iterm {} for bterm {}", + moditerm->getName(), + modbterm->getName()); } } } diff --git a/src/odb/src/db/dbModITerm.cpp b/src/odb/src/db/dbModITerm.cpp index 29911b797f3..6e984548cf5 100644 --- a/src/odb/src/db/dbModITerm.cpp +++ b/src/odb/src/db/dbModITerm.cpp @@ -273,7 +273,6 @@ dbModITerm* dbModITerm::create(dbModInst* parentInstance, const char* name) moditerm->_name = strdup(name); ZALLOCATED(moditerm->_name); - moditerm->_parent = parent->getOID(); moditerm->_next_entry = parent->_moditerms; moditerm->_prev_entry = 0; From 842e502561b13b499f9c103453e9a42ca4515fa0 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Fri, 1 Nov 2024 11:27:00 -0700 Subject: [PATCH 18/19] ctidy flatNet Signed-off-by: andyfox-rushc --- src/dbSta/include/db_sta/dbNetwork.hh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/dbSta/include/db_sta/dbNetwork.hh b/src/dbSta/include/db_sta/dbNetwork.hh index 31fd109d186..04e94b3bde8 100644 --- a/src/dbSta/include/db_sta/dbNetwork.hh +++ b/src/dbSta/include/db_sta/dbNetwork.hh @@ -132,7 +132,6 @@ class dbNetwork : public ConcreteNetwork dbNet* staToDb(const Net* net) const; void staToDb(const Net* net, dbNet*& dnet, dbModNet*& modnet) const; - dbNet* flatNet(const Net* pin) const; dbBTerm* staToDb(const Term* term) const; void staToDb(const Term* term, @@ -291,6 +290,7 @@ class dbNetwork : public ConcreteNetwork NetTermIterator* termIterator(const Net* net) const override; const Net* highestConnectedNet(Net* net) const override; bool isSpecial(Net* net); + dbNet* flatNet(const Net* net) const; //////////////////////////////////////////////////////////////// // Edit functions From 80284f5c35d3a323e2eeeec8029f8bf57c53d1d3 Mon Sep 17 00:00:00 2001 From: andyfox-rushc Date: Fri, 1 Nov 2024 12:06:22 -0700 Subject: [PATCH 19/19] Regolden readdb_hier Signed-off-by: andyfox-rushc --- src/dbSta/test/readdb_hier.ok | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/src/dbSta/test/readdb_hier.ok b/src/dbSta/test/readdb_hier.ok index 327fb3c0c61..9ba0ab77bf4 100644 --- a/src/dbSta/test/readdb_hier.ok +++ b/src/dbSta/test/readdb_hier.ok @@ -3,13 +3,13 @@ [DEBUG ODB-dbReadVerilog] Created module bterm in [DEBUG ODB-dbReadVerilog] Created module bterm clk [DEBUG ODB-dbReadVerilog] Created module bterm out -[DEBUG ODB-dbReadVerilog] Created module iterm in -[DEBUG ODB-dbReadVerilog] Created module iterm clk -[DEBUG ODB-dbReadVerilog] Created module iterm out +[DEBUG ODB-dbReadVerilog] Created module iterm in for bterm in +[DEBUG ODB-dbReadVerilog] Created module iterm clk for bterm clk +[DEBUG ODB-dbReadVerilog] Created module iterm out for bterm out [DEBUG ODB-dbReadVerilog] Created module instance b2 in parent top [DEBUG ODB-dbReadVerilog] Created module bterm in [DEBUG ODB-dbReadVerilog] Created module bterm clk [DEBUG ODB-dbReadVerilog] Created module bterm out -[DEBUG ODB-dbReadVerilog] Created module iterm in -[DEBUG ODB-dbReadVerilog] Created module iterm clk -[DEBUG ODB-dbReadVerilog] Created module iterm out +[DEBUG ODB-dbReadVerilog] Created module iterm in for bterm in +[DEBUG ODB-dbReadVerilog] Created module iterm clk for bterm clk +[DEBUG ODB-dbReadVerilog] Created module iterm out for bterm out