Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Combining VUnit tests with cocotb components #16

Open
umarcor opened this issue Sep 28, 2020 · 0 comments
Open

Combining VUnit tests with cocotb components #16

umarcor opened this issue Sep 28, 2020 · 0 comments
Labels

Comments

@umarcor
Copy link
Member

umarcor commented Sep 28, 2020

ref: https://github.com/umarcor/vunit-cocotb/blob/master/README.md
tags: [vunit, cocotb, python, testing, verification, co-simulation, simulation, ghdl]
repo: umarcor/vunit-cocotb
@umarcor umarcor added the cat: Show Show label Sep 28, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant