From f8f03dbf75d5ea4fbcefb37866e5f7caf0a3cb95 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Fri, 4 Oct 2024 05:17:15 +0000 Subject: [PATCH 1/3] [deps] Bump dependencies --- templates/chisel/nix/gcd/gcd.nix | 2 +- .../chisel/nix/pkgs/dependencies/_sources/generated.json | 8 ++++---- .../chisel/nix/pkgs/dependencies/_sources/generated.nix | 8 ++++---- 3 files changed, 9 insertions(+), 9 deletions(-) diff --git a/templates/chisel/nix/gcd/gcd.nix b/templates/chisel/nix/gcd/gcd.nix index d99d018..341b13c 100644 --- a/templates/chisel/nix/gcd/gcd.nix +++ b/templates/chisel/nix/gcd/gcd.nix @@ -43,7 +43,7 @@ let root = ./../..; fileset = unions [ ./../../build.sc ./../../common.sc ]; }; - millDepsHash = "sha256-+wfEF4JGRRjPfTue9coK82UzHTsfQvVfuRPQXoZXEag="; + millDepsHash = "sha256-5VTgJ1JaIxP3wk/WsFj+W1VGFE2xoPKu3XbmTVOvMdk="; nativeBuildInputs = [ projectDependencies.setupHook ]; }; diff --git a/templates/chisel/nix/pkgs/dependencies/_sources/generated.json b/templates/chisel/nix/pkgs/dependencies/_sources/generated.json index bec226d..708568d 100644 --- a/templates/chisel/nix/pkgs/dependencies/_sources/generated.json +++ b/templates/chisel/nix/pkgs/dependencies/_sources/generated.json @@ -1,7 +1,7 @@ { "chisel": { "cargoLocks": null, - "date": "2024-10-02", + "date": "2024-10-03", "extract": null, "name": "chisel", "passthru": null, @@ -13,10 +13,10 @@ "name": null, "owner": "chipsalliance", "repo": "chisel", - "rev": "f915c771bf672a9951d528f0e0b815e2c3d90c93", - "sha256": "sha256-vhWZKHkHBiAAPUymsUkyZt4vm7CimzpvXZLdVtr+I58=", + "rev": "312efd09407b7b2f12cab73faacae4dc1bcdb58f", + "sha256": "sha256-pEXc4qcmNv86Xz/OFs1ASgxjI5BwXZ917A0dL7WbvyA=", "type": "github" }, - "version": "f915c771bf672a9951d528f0e0b815e2c3d90c93" + "version": "312efd09407b7b2f12cab73faacae4dc1bcdb58f" } } \ No newline at end of file diff --git a/templates/chisel/nix/pkgs/dependencies/_sources/generated.nix b/templates/chisel/nix/pkgs/dependencies/_sources/generated.nix index d06fbc4..620e0df 100644 --- a/templates/chisel/nix/pkgs/dependencies/_sources/generated.nix +++ b/templates/chisel/nix/pkgs/dependencies/_sources/generated.nix @@ -3,14 +3,14 @@ { chisel = { pname = "chisel"; - version = "f915c771bf672a9951d528f0e0b815e2c3d90c93"; + version = "312efd09407b7b2f12cab73faacae4dc1bcdb58f"; src = fetchFromGitHub { owner = "chipsalliance"; repo = "chisel"; - rev = "f915c771bf672a9951d528f0e0b815e2c3d90c93"; + rev = "312efd09407b7b2f12cab73faacae4dc1bcdb58f"; fetchSubmodules = false; - sha256 = "sha256-vhWZKHkHBiAAPUymsUkyZt4vm7CimzpvXZLdVtr+I58="; + sha256 = "sha256-pEXc4qcmNv86Xz/OFs1ASgxjI5BwXZ917A0dL7WbvyA="; }; - date = "2024-10-02"; + date = "2024-10-03"; }; } From de05fcf69cec837fd533d0dee3c06444b65169a2 Mon Sep 17 00:00:00 2001 From: unlsycn Date: Fri, 4 Oct 2024 05:58:29 +0000 Subject: [PATCH 2/3] build: add git to dependencies See https://github.com/chipsalliance/chisel/pull/4438 Signed-off-by: unlsycn --- templates/chisel/nix/gcd/gcd.nix | 2 ++ 1 file changed, 2 insertions(+) diff --git a/templates/chisel/nix/gcd/gcd.nix b/templates/chisel/nix/gcd/gcd.nix index 341b13c..9faad53 100644 --- a/templates/chisel/nix/gcd/gcd.nix +++ b/templates/chisel/nix/gcd/gcd.nix @@ -6,6 +6,7 @@ , fetchMillDeps , makeWrapper , jdk21 +, git # chisel deps , mill @@ -67,6 +68,7 @@ let jextract-21 add-determinism espresso + git makeWrapper passthru.millDeps.setupHook From 4e07018c64a9080c94c3d83e8e79f6345c109c5f Mon Sep 17 00:00:00 2001 From: unlsycn Date: Fri, 4 Oct 2024 05:59:49 +0000 Subject: [PATCH 3/3] feat: do not inline layers in GCDFormal Signed-off-by: unlsycn --- templates/chisel/gcd/src/GCDFormal.scala | 1 - templates/chisel/nix/gcd/default.nix | 1 + 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/templates/chisel/gcd/src/GCDFormal.scala b/templates/chisel/gcd/src/GCDFormal.scala index df3dcc8..2f68f43 100644 --- a/templates/chisel/gcd/src/GCDFormal.scala +++ b/templates/chisel/gcd/src/GCDFormal.scala @@ -46,7 +46,6 @@ class GCDFormal(val parameter: GCDFormalParameter) with SerializableModule[GCDFormalParameter] with ImplicitClock with ImplicitReset { - layer.enable(layers.Verification) override protected def implicitClock: Clock = io.clock override protected def implicitReset: Reset = io.reset // Instantiate DUT. diff --git a/templates/chisel/nix/gcd/default.nix b/templates/chisel/nix/gcd/default.nix index e97f8d0..aaf32c9 100644 --- a/templates/chisel/nix/gcd/default.nix +++ b/templates/chisel/nix/gcd/default.nix @@ -59,6 +59,7 @@ in scope.callPackage ./mlirbc.nix { elaborate = scope.formal-elaborate; }; formal-rtl = scope.callPackage ./rtl.nix { mlirbc = scope.formal-mlirbc; + enable-layers = [ "Verification" "Verification.Assume" "Verification.Assert" "Verification.Cover" ]; }; jg-fpv = scope.callPackage ./jg-fpv.nix { rtl = scope.formal-rtl;