Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

I have some question about module 3 Interlude: Chisel Standard Library #174

Open
linuxlonelyeagle opened this issue Apr 14, 2023 · 0 comments

Comments

@linuxlonelyeagle
Copy link

new Module {
    // Example circuit using a Queue
    val io = IO(new Bundle {
      val in = Flipped(Decoupled(UInt(8.W)))
      val out = Decoupled(UInt(8.W))
    })
    val queue = Queue(io.in, 2)  // 2-element queue
    io.out <> queue
  • Why do we use Flipped here?
  • Why Queue(io.in, 2) is used here?
    I don't quite understand why io.in is used here.
  • Why is io.out <> queue used here?
    I think the most critical part is that I have no way to imagine the corresponding hardware structure.If anyone can help me, I would appreciate it.Thanks!
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant