diff --git a/projects/Arduino_v1/arduino_v1/README.md b/projects/Arduino_v1/arduino_v1/README.md new file mode 100644 index 000000000..74445b405 --- /dev/null +++ b/projects/Arduino_v1/arduino_v1/README.md @@ -0,0 +1,13 @@ +--- +name: "Souptik Samanta" +slack_handle: "@Souptik Samanta" +github_handle: "@Souptik-Samanta +" +tutorial: # no +--- +Made a Arduino pcb + +Made a Arduino pcb + +less than a 50$ + diff --git a/projects/Arduino_v1/arduino_v1/asset/flag-orpheus-left.png b/projects/Arduino_v1/arduino_v1/asset/flag-orpheus-left.png new file mode 100644 index 000000000..63886ab60 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/asset/flag-orpheus-left.png differ diff --git a/projects/Arduino_v1/arduino_v1/asset/icon-rounded.png b/projects/Arduino_v1/arduino_v1/asset/icon-rounded.png new file mode 100644 index 000000000..a7bc5e260 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/asset/icon-rounded.png differ diff --git a/projects/Arduino_v1/arduino_v1/asset/signature.png b/projects/Arduino_v1/arduino_v1/asset/signature.png new file mode 100644 index 000000000..d7a62a2a7 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/asset/signature.png differ diff --git a/projects/Arduino_v1/arduino_v1/cart.png b/projects/Arduino_v1/arduino_v1/cart.png new file mode 100644 index 000000000..01baf2327 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/cart.png differ diff --git a/projects/Arduino_v1/arduino_v1/gerber.zip b/projects/Arduino_v1/arduino_v1/gerber.zip new file mode 100644 index 000000000..d2e2cffc7 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/gerber.zip differ diff --git a/projects/Arduino_v1/arduino_v1/schematic.pdf b/projects/Arduino_v1/arduino_v1/schematic.pdf new file mode 100644 index 000000000..b18faeb21 Binary files /dev/null and b/projects/Arduino_v1/arduino_v1/schematic.pdf differ diff --git a/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pcb b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pcb new file mode 100644 index 000000000..36ea527ff --- /dev/null +++ b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pcb @@ -0,0 +1,21501 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "A4") + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions no) + (usegerberattributes yes) + (usegerberadvancedattributes yes) + (creategerberjobfile yes) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk no) + (outputformat 1) + (mirror no) + (drillshape 0) + (scaleselection 1) + (outputdirectory "./GERBER") + ) + ) + (net 0 "") + (net 1 "Net-(U1-XTAL1{slash}PB6)") + (net 2 "GND") + (net 3 "Net-(U1-XTAL2{slash}PB7)") + (net 4 "Net-(U3-XO)") + (net 5 "Net-(U3-XI)") + (net 6 "RST") + (net 7 "Net-(U3-~{DTR})") + (net 8 "VCC") + (net 9 "VIN") + (net 10 "5V") + (net 11 "3.3V") + (net 12 "Net-(D1-A)") + (net 13 "D13") + (net 14 "Net-(D2-K)") + (net 15 "Net-(D3-K)") + (net 16 "Net-(D4-K)") + (net 17 "TXD") + (net 18 "RXD") + (net 19 "Net-(D5-K)") + (net 20 "5VU") + (net 21 "A5") + (net 22 "A4") + (net 23 "A1") + (net 24 "A2") + (net 25 "A0") + (net 26 "A3") + (net 27 "D8") + (net 28 "D12") + (net 29 "D9") + (net 30 "D11") + (net 31 "D10") + (net 32 "D2") + (net 33 "D6") + (net 34 "D5") + (net 35 "D7") + (net 36 "D4") + (net 37 "D3") + (net 38 "Net-(J5-D-)") + (net 39 "Net-(J5-D+)") + (net 40 "unconnected-(U3-~{DCD}-Pad12)") + (net 41 "unconnected-(U3-~{RTS}-Pad14)") + (net 42 "unconnected-(U3-~{CTS}-Pad9)") + (net 43 "unconnected-(U3-~{DSR}-Pad10)") + (net 44 "unconnected-(U3-R232-Pad15)") + (net 45 "unconnected-(U3-~{RI}-Pad11)") + (net 46 "unconnected-(J7-Pin_8-Pad8)") + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (layer "F.Cu") + (uuid "088eef85-9edb-47c6-bf18-114e0d7e3553") + (at 59.436 97.536) + (descr "Through hole straight pin header, 1x08, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x08 2.54mm single row") + (property "Reference" "J7" + (at 0 -2.33 0) + (layer "F.SilkS") + (uuid "f9b5f28d-a6ca-4745-b082-32a460fbc833") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x08_Pin" + (at 0 20.11 0) + (layer "F.Fab") + (uuid "7e725820-64be-42cf-a92e-bb0ab7a799e7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f3f76225-0b95-4a1d-9d6a-0594fe2a48b6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "146fd347-359f-43aa-b5a7-e60e16e19051") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x08, script generated" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "57c35afe-91ef-44d8-a4be-7eb72c2bc134") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/def35bc1-9a81-471b-b0ba-348ac1cf0bfe") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -1.33 -1.33) + (end 0 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1e61904c-e5a7-4f95-b51d-5a67a588226f") + ) + (fp_line + (start -1.33 0) + (end -1.33 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "95970665-af00-45b9-a1a9-cab18a6bb35a") + ) + (fp_line + (start -1.33 1.27) + (end -1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2676f3bf-7066-4285-84d0-dda0b7f576d0") + ) + (fp_line + (start -1.33 1.27) + (end 1.33 1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d7da0940-e811-424c-a254-73393baccb21") + ) + (fp_line + (start -1.33 19.11) + (end 1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "46dbc03a-d260-46e0-be06-22393bf6c045") + ) + (fp_line + (start 1.33 1.27) + (end 1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8d442433-c9c3-4983-8b62-6f71c72d2fb5") + ) + (fp_line + (start -1.8 -1.8) + (end -1.8 19.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1045bc07-4316-405f-a80f-3ff87fd29006") + ) + (fp_line + (start -1.8 19.55) + (end 1.8 19.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2772cc1c-0076-4a00-817a-eb64e61ed84e") + ) + (fp_line + (start 1.8 -1.8) + (end -1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "182536aa-5b54-4fa0-92f0-341c12ff1e27") + ) + (fp_line + (start 1.8 19.55) + (end 1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "85d85be0-a874-4c5a-910f-af592b85bf39") + ) + (fp_line + (start -1.27 -0.635) + (end -0.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9079ebfc-906c-4bda-85e1-2d79866434e1") + ) + (fp_line + (start -1.27 19.05) + (end -1.27 -0.635) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5cfeaace-f1ac-4efe-b3e5-250a97a3b238") + ) + (fp_line + (start -0.635 -1.27) + (end 1.27 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6abd38cf-4341-4e8a-8672-e2bd34c49336") + ) + (fp_line + (start 1.27 -1.27) + (end 1.27 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ae74c13e-100c-4fab-9123-2f691c64ab6f") + ) + (fp_line + (start 1.27 19.05) + (end -1.27 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7b0901c6-e370-4225-b794-5cd3fee3ec19") + ) + (fp_text user "${REFERENCE}" + (at 0 8.89 90) + (layer "F.Fab") + (uuid "a13c0c7a-391e-4b1e-afd2-87226b8e149b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "VIN") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "73b3df00-1817-4706-8561-35e3875627f5") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "96fa30e3-9fd1-4b32-a2f6-2d6399466fe1") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "0c433911-0c71-41ae-a572-2ac4c2c7b759") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "3e5635c5-3136-432c-b2fb-f397c3306416") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "3.3V") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "ce519263-e995-4d8f-9c96-5403b76ec233") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 6 "RST") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "d625f69a-06cf-4204-a434-2f24ac6c2642") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "242c337f-7285-43a0-aad5-839a8cb6d1b5") + ) + (pad "8" thru_hole oval + (at 0 17.78) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 46 "unconnected-(J7-Pin_8-Pad8)") + (pinfunction "Pin_8") + (pintype "passive") + (uuid "f0eba296-3859-4b68-ba12-ef7872cc6c81") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x08_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "0a45afb2-43c2-41bf-9abf-cf98e8c56ce7") + (at 80.772 118.872) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D3" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "a403c54b-8844-4b9d-a19c-283277dd674b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "LED" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "fbc198c1-7585-4345-9c80-822d74d0f8bc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d3550e90-8dd2-408f-b853-bb5d6e9602d5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f7f6487f-4ef3-47a5-9f3d-4f8a2e0de106") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ff137dcb-172c-44e6-b92b-2cb5eafcfc1d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/eae9f4f7-81ff-4390-a85c-97704c46e481") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -1.86 -0.96) + (end -1.86 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3f225b9c-9c60-41cf-a4cc-f59d7223741e") + ) + (fp_line + (start -1.86 0.96) + (end 1 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fd2ba2b0-bbef-45c5-aa38-ad9704beca5e") + ) + (fp_line + (start 1 -0.96) + (end -1.86 -0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ea3e108d-be23-45ed-80af-af8018cb8f74") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "df9c44ea-dd43-4d1b-a95d-b420e922fbdf") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "90d95680-5f98-4cc1-b7da-82e2cdc1cc95") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a7653fb2-800b-4ff2-96b9-5a6e5278e1e8") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7c58c102-8c67-459b-b5af-6ec4290c235a") + ) + (fp_line + (start -1 -0.3) + (end -1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2aeed807-e939-476e-a2d8-788ba0631e56") + ) + (fp_line + (start -1 0.6) + (end 1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ef8d29a6-c2b8-4d0a-9189-c3aed4c054bb") + ) + (fp_line + (start -0.7 -0.6) + (end -1 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0bd51393-3b9d-4a19-95d5-4a8983cea8ea") + ) + (fp_line + (start 1 -0.6) + (end -0.7 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "25548f85-43e1-41db-ab4d-edc4838de08c") + ) + (fp_line + (start 1 0.6) + (end 1 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "add70bff-59b8-4e1a-964c-b7f3aced4dc5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "b02d8b11-0878-4a27-b2fa-5fe44559a2a5") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 15 "Net-(D3-K)") + (pinfunction "K") + (pintype "passive") + (uuid "5f0c935b-7d87-4c21-a73e-1383933352f8") + ) + (pad "2" smd roundrect + (at 1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 8 "VCC") + (pinfunction "A") + (pintype "passive") + (uuid "0048c99f-6095-4478-92fc-2559989c590c") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_Elec_10x10.2" + (layer "F.Cu") + (uuid "0ad27c7d-bf7d-402e-aaf6-df7a4c0b365f") + (at 102.108 90.932 90) + (descr "SMD capacitor, aluminum electrolytic nonpolar, 10.0x10.2mm") + (tags "capacitor electrolyic nonpolar") + (property "Reference" "C7" + (at 0 -6.2 -90) + (layer "F.SilkS") + (uuid "87f80f14-61f7-4fe4-8ea6-d65c70bba356") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100uF" + (at 0 6.2 -90) + (layer "F.Fab") + (uuid "cc4cffaa-6ec6-4eee-80f0-8eea2e833a30") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_Elec_10x10.2" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a56d34d2-3801-4319-a8b8-291b65769997") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f7ec536a-ea95-48e9-aa6a-3590d4c9f5f5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0417faa7-6ced-4988-8c4f-ac65b9ca5475") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8ca8c8c0-c10e-4f34-b093-8885911cbc64") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start 5.26 -5.26) + (end 5.26 -1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7842b38a-00fb-49f4-8711-9a203c6e49eb") + ) + (fp_line + (start -4.195563 -5.26) + (end 5.26 -5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1f80e9e2-caa4-493a-b261-e4cbb381bf7c") + ) + (fp_line + (start -5.26 -4.195563) + (end -4.195563 -5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e51ba406-8081-47a4-8dc7-e31831366d24") + ) + (fp_line + (start -5.26 -4.195563) + (end -5.26 -1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8a72e80e-6747-4212-80bd-e9af86cf661e") + ) + (fp_line + (start -5.26 4.195563) + (end -5.26 1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4f822457-efc2-40b7-a1a9-7ae54ff41902") + ) + (fp_line + (start -5.26 4.195563) + (end -4.195563 5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4e586810-e1f0-4d3a-accf-e5d965e8d05c") + ) + (fp_line + (start 5.26 5.26) + (end 5.26 1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "416d26dd-ca4b-47bc-953c-062e9a8c3352") + ) + (fp_line + (start -4.195563 5.26) + (end 5.26 5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a8a03202-419c-4048-b100-d29d04a6240d") + ) + (fp_line + (start 5.4 -5.4) + (end 5.4 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "488a471d-b14f-4837-9d05-a8686cff4c08") + ) + (fp_line + (start -4.25 -5.4) + (end 5.4 -5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c60baa0e-5fe9-4d4d-9d71-6fa604d8acff") + ) + (fp_line + (start -5.4 -4.25) + (end -4.25 -5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b0f62b1b-378a-4b8a-99be-3b1d5809b884") + ) + (fp_line + (start -5.4 -4.25) + (end -5.4 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2f8e1f75-92c3-410b-b3ee-2051f1d8f93c") + ) + (fp_line + (start 6.95 -1.3) + (end 6.95 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4fb4aefe-b361-493d-b2f0-e9ee387754ab") + ) + (fp_line + (start 5.4 -1.3) + (end 6.95 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "41bafe30-0e6e-4142-9e46-1dad9857790e") + ) + (fp_line + (start -5.4 -1.3) + (end -6.95 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c42acfae-9f6d-468e-aefa-493be103cb06") + ) + (fp_line + (start -6.95 -1.3) + (end -6.95 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3be2fc9c-4119-4084-a23a-38e913d6d280") + ) + (fp_line + (start 6.95 1.3) + (end 5.4 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5381d107-0f5c-415b-aa6c-f34860e369cf") + ) + (fp_line + (start 5.4 1.3) + (end 5.4 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb59fd1f-7836-4125-a275-639ea0a71bcb") + ) + (fp_line + (start -5.4 1.3) + (end -5.4 4.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "957dfbac-f376-4736-9446-a6e95013810a") + ) + (fp_line + (start -6.95 1.3) + (end -5.4 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6df033fe-45d0-471a-86ab-97e84a199934") + ) + (fp_line + (start -5.4 4.25) + (end -4.25 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c2799fbe-efb4-4a45-9346-b808dc020ab5") + ) + (fp_line + (start -4.25 5.4) + (end 5.4 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b37c95d8-e8e5-402a-a63f-e14147c57d00") + ) + (fp_line + (start 5.15 -5.15) + (end 5.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9bd3b645-fc64-4629-b701-21b6b4ee9ff9") + ) + (fp_line + (start -4.15 -5.15) + (end 5.15 -5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4cf97a50-1b35-4b9a-b414-cb166961eb1f") + ) + (fp_line + (start -5.15 -4.15) + (end -4.15 -5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7227956-55b2-418c-a809-f1bd5e664c1d") + ) + (fp_line + (start -5.15 -4.15) + (end -5.15 4.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "388ca614-2d19-4651-b16d-a44dc244573b") + ) + (fp_line + (start -5.15 4.15) + (end -4.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d59909e-ba7d-4444-9ce7-6c376be59460") + ) + (fp_line + (start -4.15 5.15) + (end 5.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "54aa1522-907a-4641-9279-452c344cbb37") + ) + (fp_circle + (center 0 0) + (end 5 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "5615b15f-58fb-4ccd-8a77-5649362f838a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "fc437848-8793-42da-99ab-2be2476e5a5a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -4.4 0 90) + (size 4.6 2.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.119048) + (net 9 "VIN") + (pintype "passive") + (uuid "c948490a-d06b-436c-a5cb-6543b1f8cad3") + ) + (pad "2" smd roundrect + (at 4.4 0 90) + (size 4.6 2.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.119048) + (net 2 "GND") + (pintype "passive") + (uuid "a93bd37d-e267-4d16-a2ac-269257a7069f") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_Elec_10x10.2.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Crystal:Crystal_HC49-4H_Vertical" + (layer "F.Cu") + (uuid "116f2d40-9b72-4cec-9591-747cb6cf1cda") + (at 80.772 109.31 -90) + (descr "Crystal THT HC-49-4H http://5hertz.com/pdfs/04404_D.pdf") + (tags "THT crystalHC-49-4H") + (property "Reference" "Y1" + (at 2.44 -3.525 90) + (layer "F.SilkS") + (uuid "e8b66829-2804-478e-a907-fff2207da556") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Crystal" + (at 2.44 3.525 90) + (layer "F.Fab") + (uuid "617c2729-cbe5-468a-a024-3f25202eba7e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Crystal:Crystal_HC49-4H_Vertical" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2fe90ab2-b5cb-4ac9-b255-9e4f5f2f12b8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "61028840-dac0-4448-8311-3f31dc0ad250") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Two pin crystal" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f6757681-0825-4ecf-95e1-50ef28d85fa6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Crystal*") + (path "/b59a39e5-8bb0-4ad6-80ba-f2a5749a60f2") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -0.76 2.525) + (end 5.64 2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "41b8653b-2850-4e3c-b9e5-92edcb1b46af") + ) + (fp_line + (start -0.76 -2.525) + (end 5.64 -2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6fdada5e-e26f-40f0-828e-ae208557a16b") + ) + (fp_arc + (start -0.76 2.525) + (mid -3.285 0) + (end -0.76 -2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "046c4d0b-24c4-4657-b174-ea3d202d801b") + ) + (fp_arc + (start 5.64 -2.525) + (mid 8.165 0) + (end 5.64 2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "db33a461-8872-4221-8846-48f4d6e4b31e") + ) + (fp_line + (start -3.6 2.8) + (end 8.5 2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ebd05f07-aee4-482c-88fb-aafa41c1718f") + ) + (fp_line + (start 8.5 2.8) + (end 8.5 -2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5b722f20-5640-4556-8f97-ffb46b4efb32") + ) + (fp_line + (start -3.6 -2.8) + (end -3.6 2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "948127da-d08a-46c1-b803-9b801b7b1b7a") + ) + (fp_line + (start 8.5 -2.8) + (end -3.6 -2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e36f7a7d-0abb-416a-9385-eeeb05cc30b7") + ) + (fp_line + (start -0.76 2.325) + (end 5.64 2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "65c143c7-f19a-4803-9aa4-188f6eafa9ac") + ) + (fp_line + (start -0.56 2) + (end 5.44 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dbde58a6-ec3c-4ddc-8a3b-23ac2c546791") + ) + (fp_line + (start -0.56 -2) + (end 5.44 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7e10e71e-ef0e-4f78-822f-ab5dca44d9ff") + ) + (fp_line + (start -0.76 -2.325) + (end 5.64 -2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "39b0893c-8683-45e1-8b52-fa3db8945633") + ) + (fp_arc + (start -0.76 2.325) + (mid -3.085 0) + (end -0.76 -2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "708f23dc-126c-470c-b1af-6393a2fa2aa1") + ) + (fp_arc + (start -0.56 2) + (mid -2.56 0) + (end -0.56 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5334fdef-4ca0-40ee-9992-0813ac2df584") + ) + (fp_arc + (start 5.44 -2) + (mid 7.44 0) + (end 5.44 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eb8759a1-74c5-4419-a347-9012e84f366d") + ) + (fp_arc + (start 5.64 -2.325) + (mid 7.965 0) + (end 5.64 2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f39ea5c9-08a3-496f-b940-fa2037e871a1") + ) + (fp_text user "${REFERENCE}" + (at 2.44 0 90) + (layer "F.Fab") + (uuid "6d0ce395-4c06-4a63-9f4e-2ca5bb1b7607") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole circle + (at 0 0 270) + (size 1.5 1.5) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "Net-(U1-XTAL2{slash}PB7)") + (pinfunction "1") + (pintype "passive") + (uuid "4672fb3b-85bb-43d4-b2ea-162f54ba13eb") + ) + (pad "2" thru_hole circle + (at 4.88 0 270) + (size 1.5 1.5) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "Net-(U1-XTAL1{slash}PB6)") + (pinfunction "2") + (pintype "passive") + (uuid "de2219ab-f734-4533-a4c0-44b88c81810e") + ) + (model "${KICAD8_3DMODEL_DIR}/Crystal.3dshapes/Crystal_HC49-4H_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "kilibimg:souptikSign" + (layer "F.Cu") + (uuid "157b6a63-6edc-458a-96a1-a5309e5e36a2") + (at 96.828387 113.298474 90) + (property "Reference" "G***" + (at 0 0 -90) + (layer "F.SilkS") + (hide yes) + (uuid "6d9ef530-9221-4eba-8c6d-eea7443398b4") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 -90) + (layer "F.SilkS") + (hide yes) + (uuid "02e0a008-a0b9-436f-92cf-9a699421a8f3") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Footprint" "kilibimg:souptikSign" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5be3e38a-6b77-43c1-a80b-08e270f207f3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e0e56ec7-7998-460a-abba-673404aa826c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1e7ccdb5-0037-46ff-9fb9-9f3c8396563a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy -2.847578 -1.771055) (xy -2.852539 -1.766094) (xy -2.8575 -1.771055) (xy -2.852539 -1.776016) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5e40184b-8106-424c-bef8-b57eac06bcf8") + ) + (fp_poly + (pts + (xy 1.002109 -1.552773) (xy 0.997148 -1.547812) (xy 0.992188 -1.552773) (xy 0.997148 -1.557734) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5c92a3d2-d9d3-4d31-8a3a-563ef11b7e92") + ) + (fp_poly + (pts + (xy -0.119062 -0.937617) (xy -0.124023 -0.932656) (xy -0.128984 -0.937617) (xy -0.124023 -0.942578) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9575ea9e-d6de-4f47-b971-8e5cc06406f0") + ) + (fp_poly + (pts + (xy -4.514453 -0.868164) (xy -4.519414 -0.863203) (xy -4.524375 -0.868164) (xy -4.519414 -0.873125) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "60f5728d-9b7d-4952-a0f4-f72bb50d67bc") + ) + (fp_poly + (pts + (xy -3.452812 -0.21332) (xy -3.457773 -0.208359) (xy -3.462734 -0.21332) (xy -3.457773 -0.218281) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f893e82c-ef00-473f-8814-08fa4faa99f5") + ) + (fp_poly + (pts + (xy -5.526484 0.203398) (xy -5.531445 0.208359) (xy -5.536406 0.203398) (xy -5.531445 0.198438) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "66f35b89-9cab-4c37-8c14-8820b0bc7355") + ) + (fp_poly + (pts + (xy -5.516562 0.223242) (xy -5.521523 0.228203) (xy -5.526484 0.223242) (xy -5.521523 0.218281) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "68dd50c5-01a6-4507-b144-30ff51ac0f77") + ) + (fp_poly + (pts + (xy 0.019844 0.759023) (xy 0.014883 0.763984) (xy 0.009922 0.759023) (xy 0.014883 0.754063) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7c656491-05a3-425c-9e2b-476179702272") + ) + (fp_poly + (pts + (xy 0.416719 0.828477) (xy 0.411758 0.833438) (xy 0.406797 0.828477) (xy 0.411758 0.823516) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9032a7f2-4af5-44a5-88c1-7ee5969d141b") + ) + (fp_poly + (pts + (xy -4.464844 0.89793) (xy -4.469805 0.902891) (xy -4.474766 0.89793) (xy -4.469805 0.892969) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "4deb0466-c784-40b1-aac6-bc7f52547be5") + ) + (fp_poly + (pts + (xy -4.484687 1.622227) (xy -4.489648 1.627188) (xy -4.494609 1.622227) (xy -4.489648 1.617266) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1701ea72-5dac-41d8-9f98-f2c8689432db") + ) + (fp_poly + (pts + (xy -2.493698 -3.161771) (xy -2.49251 -3.149996) (xy -2.493698 -3.148542) (xy -2.499596 -3.149904) + (xy -2.500312 -3.155156) (xy -2.496682 -3.163323) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c8f1a42f-7609-4197-b594-a60c453f76a0") + ) + (fp_poly + (pts + (xy -3.059082 -2.472407) (xy -3.057899 -2.456901) (xy -3.059865 -2.45339) (xy -3.064375 -2.456349) + (xy -3.065076 -2.466413) (xy -3.062653 -2.477) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "29801838-8975-4314-a52c-c1405a9aec8c") + ) + (fp_poly + (pts + (xy -3.902604 -2.219193) (xy -3.901417 -2.207418) (xy -3.902604 -2.205964) (xy -3.908503 -2.207326) + (xy -3.909219 -2.212578) (xy -3.905588 -2.220745) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "56b25862-cf04-4159-9953-8af63bae4db7") + ) + (fp_poly + (pts + (xy 1.068255 -0.780521) (xy 1.069443 -0.768746) (xy 1.068255 -0.767292) (xy 1.062357 -0.768654) + (xy 1.061641 -0.773906) (xy 1.065271 -0.782073) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3b331b80-16dd-483d-b877-6b34d8cc9878") + ) + (fp_poly + (pts + (xy -6.085417 0.558932) (xy -6.084229 0.570707) (xy -6.085417 0.572161) (xy -6.091315 0.570799) + (xy -6.092031 0.565547) (xy -6.088401 0.55738) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d526b2d4-6eea-4f69-8fda-46dee44ef4b1") + ) + (fp_poly + (pts + (xy 0.19513 0.588698) (xy 0.196318 0.600473) (xy 0.19513 0.601927) (xy 0.189232 0.600565) (xy 0.188516 0.595313) + (xy 0.192146 0.587146) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c46486eb-275b-4d82-a7a3-1fea0dc30c1b") + ) + (fp_poly + (pts + (xy -0.955644 0.772046) (xy -0.954461 0.787553) (xy -0.956427 0.791063) (xy -0.960937 0.788104) + (xy -0.961639 0.77804) (xy -0.959215 0.767453) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "cd4deee4-f245-4f7d-af28-845552118980") + ) + (fp_poly + (pts + (xy -2.729971 -2.711377) (xy -2.728516 -2.704296) (xy -2.731785 -2.689961) (xy -2.740377 -2.694258) + (xy -2.742975 -2.698064) (xy -2.74173 -2.710807) (xy -2.738599 -2.713533) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1d97bf3d-9845-4c3c-b0be-3aff13c9c28b") + ) + (fp_poly + (pts + (xy 1.270162 -1.116111) (xy 1.275885 -1.10422) (xy 1.274537 -1.100643) (xy 1.264959 -1.091845) (xy 1.260272 -1.101865) + (xy 1.260078 -1.106875) (xy 1.26496 -1.117094) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9c509676-108b-4be7-87fe-5fb2a9111252") + ) + (fp_poly + (pts + (xy -0.021299 -1.094112) (xy -0.019844 -1.087031) (xy -0.023114 -1.072695) (xy -0.031705 -1.076993) + (xy -0.034303 -1.080799) (xy -0.033059 -1.093542) (xy -0.029927 -1.096267) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3a04c24f-65e6-4211-b7a9-0341ab2f2681") + ) + (fp_poly + (pts + (xy 1.128988 -0.904247) (xy 1.126797 -0.886274) (xy 1.122843 -0.880749) (xy 1.116342 -0.878175) + (xy 1.118234 -0.892715) (xy 1.118505 -0.893764) (xy 1.124389 -0.907064) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b4706254-5b0d-4524-9fb5-aa27c84e070d") + ) + (fp_poly + (pts + (xy -0.614386 -0.044581) (xy -0.610623 -0.034196) (xy -0.619353 -0.026471) (xy -0.634498 -0.029196) + (xy -0.638828 -0.035353) (xy -0.636858 -0.047713) (xy -0.630313 -0.049609) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3ee73ba4-5a68-4f2e-b53d-b19b4fedb59a") + ) + (fp_poly + (pts + (xy -1.016546 -4.94951) (xy -1.004976 -4.932153) (xy -1.003813 -4.921099) (xy -1.009845 -4.920451) + (xy -1.019291 -4.934771) (xy -1.020553 -4.937488) (xy -1.028364 -4.956051) (xy -1.026948 -4.958962) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "2ae2ed19-d120-4ff1-94a9-033742d4b98f") + ) + (fp_poly + (pts + (xy -2.212866 -2.80034) (xy -2.212578 -2.797969) (xy -2.220128 -2.788335) (xy -2.2225 -2.788047) + (xy -2.232134 -2.795597) (xy -2.232422 -2.797969) (xy -2.224872 -2.807602) (xy -2.2225 -2.807891) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "eab65af5-ffa4-477b-a474-d7062e10811f") + ) + (fp_poly + (pts + (xy 1.804656 -2.711248) (xy 1.803011 -2.693581) (xy 1.795859 -2.678906) (xy 1.788983 -2.672195) + (xy 1.78631 -2.682626) (xy 1.786089 -2.691309) (xy 1.789091 -2.711107) (xy 1.795859 -2.718594) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "39bd71fc-3e93-46b1-ab4c-e66105d57cd5") + ) + (fp_poly + (pts + (xy -3.007666 -2.586952) (xy -3.008877 -2.573023) (xy -3.012977 -2.560287) (xy -3.017996 -2.566149) + (xy -3.020901 -2.572674) (xy -3.024565 -2.590168) (xy -3.022437 -2.596652) (xy -3.012627 -2.598857) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "54cf8e99-1057-46d3-9a3a-aa780ec3ca0c") + ) + (fp_poly + (pts + (xy -0.169791 -1.113136) (xy -0.168672 -1.106289) (xy -0.174029 -1.093085) (xy -0.178594 -1.091406) + (xy -0.187396 -1.099443) (xy -0.188516 -1.106289) (xy -0.183158 -1.119493) (xy -0.178594 -1.121172) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "0d4a7541-0f88-46fd-b782-2f5a46695883") + ) + (fp_poly + (pts + (xy -0.050013 -1.044516) (xy -0.049609 -1.041797) (xy -0.052995 -1.032133) (xy -0.053985 -1.031875) + (xy -0.062456 -1.038828) (xy -0.064492 -1.041797) (xy -0.063706 -1.05094) (xy -0.060117 -1.051719) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "58968e95-86e1-460e-a4b7-5a74c44f2474") + ) + (fp_poly + (pts + (xy 1.197175 -0.946387) (xy 1.195586 -0.942578) (xy 1.182442 -0.933027) (xy 1.179532 -0.932656) + (xy 1.174153 -0.938769) (xy 1.175742 -0.942578) (xy 1.188886 -0.95213) (xy 1.191796 -0.9525) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ef1d04e6-46a9-40f5-8ada-0470c809039f") + ) + (fp_poly + (pts + (xy -3.703424 -0.576917) (xy -3.700859 -0.561171) (xy -3.704184 -0.542247) (xy -3.710781 -0.535781) + (xy -3.718923 -0.544065) (xy -3.720703 -0.555039) (xy -3.716147 -0.574033) (xy -3.710781 -0.58043) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "326a5fab-2a76-4de2-b6e5-69f8a3868cd0") + ) + (fp_poly + (pts + (xy -3.747068 -0.521386) (xy -3.747745 -0.509716) (xy -3.764335 -0.506034) (xy -3.76649 -0.506016) + (xy -3.785002 -0.509963) (xy -3.78768 -0.518418) (xy -3.775614 -0.531467) (xy -3.758636 -0.532366) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "08a83d63-ccbd-4fa2-a6fd-599cf1682b2c") + ) + (fp_poly + (pts + (xy -3.851548 -0.458018) (xy -3.849687 -0.446484) (xy -3.853843 -0.430362) (xy -3.859609 -0.426641) + (xy -3.867671 -0.434951) (xy -3.869531 -0.446484) (xy -3.865376 -0.462607) (xy -3.859609 -0.466328) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "a021ae26-4969-4e03-9c46-97b1aeddc8d4") + ) + (fp_poly + (pts + (xy -4.636278 -0.348916) (xy -4.633516 -0.337344) (xy -4.639719 -0.321183) (xy -4.648398 -0.3175) + (xy -4.660519 -0.325772) (xy -4.663281 -0.337344) (xy -4.657077 -0.353504) (xy -4.648398 -0.357187) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "61ba670c-0d07-40f2-89de-ba96cc7cb945") + ) + (fp_poly + (pts + (xy 2.038082 0.062634) (xy 2.048415 0.076169) (xy 2.043385 0.084357) (xy 2.02747 0.081367) (xy 2.02486 0.079868) + (xy 2.01526 0.066507) (xy 2.015526 0.060335) (xy 2.023585 0.054855) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "e587d8de-688b-4d3f-8309-cafef6b17494") + ) + (fp_poly + (pts + (xy 1.950443 0.173339) (xy 1.949648 0.178594) (xy 1.941162 0.188049) (xy 1.939727 0.188516) (xy 1.931838 0.181596) + (xy 1.929805 0.178594) (xy 1.932161 0.170188) (xy 1.939727 0.168672) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "81c8aa8a-ad11-4994-9844-9980c16bc390") + ) + (fp_poly + (pts + (xy 0.176733 0.563935) (xy 0.178594 0.575469) (xy 0.174439 0.591591) (xy 0.168672 0.595313) (xy 0.160611 0.587002) + (xy 0.15875 0.575469) (xy 0.162905 0.559346) (xy 0.168672 0.555625) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "edaa50a1-da50-46e8-8d6f-580d176ce2c2") + ) + (fp_poly + (pts + (xy -1.579257 1.840904) (xy -1.577578 1.845469) (xy -1.585614 1.854271) (xy -1.592461 1.855391) + (xy -1.605665 1.850033) (xy -1.607344 1.845469) (xy -1.599307 1.836666) (xy -1.592461 1.835547) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "eeed404e-9275-40e1-9bf4-5bf87e497bb6") + ) + (fp_poly + (pts + (xy 5.748928 4.786073) (xy 5.749727 4.792266) (xy 5.737498 4.801801) (xy 5.734844 4.802188) (xy 5.721995 4.795004) + (xy 5.719961 4.792266) (xy 5.723077 4.784528) (xy 5.734844 4.782344) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f7728ac8-b752-4aa3-95f2-09545b83a70b") + ) + (fp_poly + (pts + (xy -0.079611 -1.021102) (xy -0.084224 -1.001124) (xy -0.085397 -0.99796) (xy -0.094954 -0.985138) + (xy -0.105347 -0.982686) (xy -0.109141 -0.990291) (xy -0.103724 -1.003685) (xy -0.094573 -1.017576) + (xy -0.083474 -1.027471) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "a6a02982-405f-45f0-b2c9-e189fb2dd378") + ) + (fp_poly + (pts + (xy 1.220128 -1.009969) (xy 1.220239 -1.00459) (xy 1.216622 -0.98717) (xy 1.210469 -0.982266) (xy 1.20094 -0.987963) + (xy 1.200699 -0.989707) (xy 1.205656 -1.00362) (xy 1.210469 -1.012031) (xy 1.217706 -1.019823) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "de742958-1a9f-4008-8c13-99e13d95d5b5") + ) + (fp_poly + (pts + (xy 1.828105 -2.885475) (xy 1.846772 -2.875619) (xy 1.85043 -2.862461) (xy 1.842323 -2.844718) (xy 1.828105 -2.839447) + (xy 1.811573 -2.840688) (xy 1.806037 -2.854534) (xy 1.805781 -2.862461) (xy 1.808841 -2.881418) + (xy 1.821316 -2.886139) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7967fe44-c49e-46c3-8f20-43c9e8f98bf9") + ) + (fp_poly + (pts + (xy 1.821547 -2.817079) (xy 1.832976 -2.800087) (xy 1.834577 -2.781585) (xy 1.826494 -2.769482) + (xy 1.820664 -2.768203) (xy 1.806962 -2.769568) (xy 1.805022 -2.770684) (xy 1.799465 -2.799702) + (xy 1.802442 -2.817923) (xy 1.81281 -2.82214) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b6cb5c5b-068d-4923-a775-7729e528aa58") + ) + (fp_poly + (pts + (xy 0.024385 -1.181382) (xy 0.02118 -1.170572) (xy 0.007345 -1.156334) (xy -0.010255 -1.144604) + (xy -0.02228 -1.141016) (xy -0.023207 -1.148024) (xy -0.01452 -1.164974) (xy -0.01397 -1.16582) + (xy 0.003698 -1.186647) (xy 0.017611 -1.188663) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "39475e25-de8a-430c-9ec5-bb711fe613b2") + ) + (fp_poly + (pts + (xy -1.023721 0.863383) (xy -1.015188 0.880996) (xy -1.017141 0.904548) (xy -1.017829 0.906454) + (xy -1.028413 0.921074) (xy -1.038368 0.918957) (xy -1.041797 0.904875) (xy -1.048079 0.882866) + (xy -1.053703 0.875109) (xy -1.061698 0.864642) (xy -1.061145 0.862444) (xy -1.048731 0.860142) + (xy -1.039689 0.858416) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "a121c98a-7690-4d72-ae4b-a036bc831f54") + ) + (fp_poly + (pts + (xy 1.966647 0.116669) (xy 1.971488 0.123333) (xy 1.986408 0.13491) (xy 1.994305 0.135735) (xy 2.010474 0.139717) + (xy 2.014141 0.143867) (xy 2.011209 0.152556) (xy 1.996081 0.156076) (xy 1.975873 0.15383) (xy 1.962051 0.148236) + (xy 1.949097 0.134768) (xy 1.944699 0.119364) (xy 1.950423 0.109746) (xy 1.954116 0.109141) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "bf137eb5-a5cf-44a3-8e35-5069b4c1fd38") + ) + (fp_poly + (pts + (xy 1.786861 -2.607959) (xy 1.788289 -2.590238) (xy 1.784202 -2.582558) (xy 1.777777 -2.563625) + (xy 1.778367 -2.545364) (xy 1.778108 -2.525263) (xy 1.772093 -2.516297) (xy 1.767918 -2.50867) (xy 1.774594 -2.502308) + (xy 1.78152 -2.491879) (xy 1.774617 -2.485729) (xy 1.759904 -2.48824) (xy 1.757288 -2.489701) (xy 1.751134 -2.497909) + (xy 1.751057 -2.514216) (xy 1.757339 -2.543016) (xy 1.761733 -2.559153) (xy 1.77267 -2.592685) (xy 1.781562 -2.608659) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "43bbdc93-6f07-4c45-946e-da93bfec0d0c") + ) + (fp_poly + (pts + (xy 1.443603 -0.857134) (xy 1.478365 -0.843965) (xy 1.519069 -0.824352) (xy 1.558456 -0.802194) + (xy 1.589266 -0.781393) (xy 1.597352 -0.774524) (xy 1.622091 -0.746441) (xy 1.631369 -0.720313) + (xy 1.627364 -0.68901) (xy 1.625467 -0.682492) (xy 1.611063 -0.655151) (xy 1.585174 -0.635825) (xy 1.544182 -0.622103) + (xy 1.530374 -0.61911) (xy 1.508527 -0.612635) (xy 1.498306 -0.605457) (xy 1.498203 -0.604806) (xy 1.494978 -0.589455) + (xy 1.492137 -0.581129) (xy 1.491501 -0.56989) (xy 1.500956 -0.571033) (xy 1.51118 -0.570673) (xy 1.513949 -0.556033) + (xy 1.513432 -0.546137) (xy 1.509912 -0.523964) (xy 1.504737 -0.509812) (xy 1.500104 -0.507475) + (xy 1.498203 -0.51983) (xy 1.493776 -0.531331) (xy 1.488281 -0.53082) (xy 1.478178 -0.515863) (xy 1.48051 -0.498164) + (xy 1.493173 -0.486915) (xy 1.498515 -0.486172) (xy 1.518492 -0.478621) (xy 1.526047 -0.461044) + (xy 1.519155 -0.442859) (xy 1.509889 -0.423471) (xy 1.504861 -0.400817) (xy 1.500466 -0.374417) + (xy 1.495727 -0.357187) (xy 1.487987 -0.334885) (xy 1.486133 -0.328542) (xy 1.478697 -0.324911) + (xy 1.462668 -0.338538) (xy 1.456222 -0.345905) (xy 1.437356 -0.365506) (xy 1.422354 -0.376302) + (xy 1.419469 -0.377031) (xy 1.409322 -0.384203) (xy 1.408906 -0.386953) (xy 1.416965 -0.395704) + (xy 1.424109 -0.396875) (xy 1.438044 -0.405138) (xy 1.449534 -0.42363) (xy 1.453555 -0.442903) (xy 1.451804 -0.448965) + (xy 1.455704 -0.455388) (xy 1.462891 -0.456406) (xy 1.476461 -0.461906) (xy 1.478359 -0.466952) + (xy 1.470311 -0.475022) (xy 1.460996 -0.475731) (xy 1.438064 -0.475076) (xy 1.432187 -0.480669) + (xy 1.438574 -0.491015) (xy 1.445211 -0.504071) (xy 1.434573 -0.512018) (xy 1.434316 -0.512117) + (xy 1.422828 -0.523215) (xy 1.423747 -0.545512) (xy 1.424546 -0.567313) (xy 1.412195 -0.583478) + (xy 1.402541 -0.590359) (xy 1.374214 -0.602982) (xy 1.347501 -0.607355) (xy 1.328517 -0.608989) + (xy 1.320903 -0.619326) (xy 1.319609 -0.640039) (xy 1.317116 -0.664379) (xy 1.311046 -0.678716) + (xy 1.310356 -0.679235) (xy 1.303502 -0.693757) (xy 1.302598 -0.720951) (xy 1.307397 -0.75464) (xy 1.314394 -0.77989) + (xy 1.328046 -0.805078) (xy 1.35253 -0.825748) (xy 1.374835 -0.838232) (xy 1.408544 -0.85231) (xy 1.435335 -0.857913) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "abfa4ed4-5863-4ba5-b40f-26d39feee0e0") + ) + (fp_poly + (pts + (xy 2.87727 -1.143257) (xy 2.877344 -1.141358) (xy 2.883742 -1.128867) (xy 2.899764 -1.10912) (xy 2.906786 -1.101659) + (xy 2.926581 -1.076531) (xy 2.930192 -1.057051) (xy 2.92911 -1.053552) (xy 2.91828 -1.033629) (xy 2.902123 -1.010954) + (xy 2.885607 -0.9918) (xy 2.873699 -0.982438) (xy 2.872657 -0.982266) (xy 2.861896 -0.975449) (xy 2.843417 -0.958433) + (xy 2.822077 -0.936369) (xy 2.802732 -0.914409) (xy 2.79024 -0.897705) (xy 2.788047 -0.892516) (xy 2.781584 -0.881924) + (xy 2.764282 -0.860932) (xy 2.73927 -0.833237) (xy 2.7257 -0.818912) (xy 2.686825 -0.781466) (xy 2.657453 -0.759758) + (xy 2.640778 -0.754062) (xy 2.625635 -0.750541) (xy 2.624395 -0.744045) (xy 2.623099 -0.730223) + (xy 2.611637 -0.709989) (xy 2.610098 -0.70798) (xy 2.595999 -0.687307) (xy 2.589639 -0.672553) (xy 2.589609 -0.671979) + (xy 2.583335 -0.659874) (xy 2.567056 -0.638603) (xy 2.548975 -0.617941) (xy 2.522452 -0.584677) + (xy 2.512738 -0.560785) (xy 2.513201 -0.555274) (xy 2.508991 -0.534602) (xy 2.494304 -0.521124) + (xy 2.475904 -0.505113) (xy 2.471075 -0.492161) (xy 2.481102 -0.486223) (xy 2.482949 -0.486167) + (xy 2.491503 -0.47651) (xy 2.496652 -0.448111) (xy 2.497693 -0.431992) (xy 2.500787 -0.392331) (xy 2.505956 -0.353632) + (xy 2.508781 -0.338895) (xy 2.512878 -0.30982) (xy 2.515597 -0.26911) (xy 2.51642 -0.224953) (xy 2.516361 -0.219434) + (xy 2.516548 -0.182282) (xy 2.518071 -0.154198) (xy 2.520625 -0.139839) (xy 2.521584 -0.138903) + (xy 2.526308 -0.130413) (xy 2.527266 -0.10963) (xy 2.525156 -0.083599) (xy 2.520674 -0.059362) (xy 2.514517 -0.043963) + (xy 2.511986 -0.041925) (xy 2.50512 -0.032866) (xy 2.50845 -0.02632) (xy 2.516038 -0.009158) (xy 2.521642 0.01657) + (xy 2.52462 0.044246) (xy 2.524329 0.067251) (xy 2.520128 0.078968) (xy 2.518704 0.079375) (xy 2.512317 0.088506) + (xy 2.512635 0.116045) (xy 2.513846 0.126504) (xy 2.522917 0.193641) (xy 2.53049 0.243565) (xy 2.537022 0.278811) + (xy 2.542968 0.301914) (xy 2.547451 0.313051) (xy 2.558899 0.33617) (xy 2.571088 0.362148) (xy 2.591146 0.397387) + (xy 2.610765 0.414955) (xy 2.618666 0.416719) (xy 2.627399 0.424312) (xy 2.628615 0.440968) (xy 2.622596 0.457502) + (xy 2.617257 0.462676) (xy 2.590453 0.472225) (xy 2.555047 0.476676) (xy 2.520836 0.475406) (xy 2.501282 0.470045) + (xy 2.469731 0.449644) (xy 2.438545 0.422145) (xy 2.412127 0.392337) (xy 2.394879 0.365008) (xy 2.390612 0.349652) + (xy 2.386782 0.321229) (xy 2.378263 0.28943) (xy 2.377669 0.287734) (xy 2.370588 0.245769) (xy 2.374397 0.22527) + (xy 2.378139 0.199824) (xy 2.36772 0.18009) (xy 2.367474 0.179817) (xy 2.357883 0.163652) (xy 2.358879 0.154663) + (xy 2.363081 0.140824) (xy 2.365889 0.112911) (xy 2.367292 0.076645) (xy 2.367277 0.037746) (xy 2.365831 0.001937) + (xy 2.362942 -0.025062) (xy 2.359294 -0.036874) (xy 2.354368 -0.053099) (xy 2.352348 -0.081427) + (xy 2.352867 -0.101366) (xy 2.353264 -0.133528) (xy 2.350714 -0.1584) (xy 2.34799 -0.166626) (xy 2.346191 -0.184606) + (xy 2.349861 -0.191521) (xy 2.356383 -0.211689) (xy 2.355986 -0.243117) (xy 2.348908 -0.278557) + (xy 2.346791 -0.285254) (xy 2.335833 -0.304418) (xy 2.323352 -0.30517) (xy 2.316758 -0.297656) (xy 2.317544 -0.288513) + (xy 2.321133 -0.287734) (xy 2.331237 -0.280532) (xy 2.331641 -0.277812) (xy 2.323637 -0.268936) + (xy 2.317207 -0.267891) (xy 2.30525 -0.258761) (xy 2.296531 -0.234531) (xy 2.296168 -0.232677) (xy 2.287579 -0.204549) + (xy 2.27558 -0.183549) (xy 2.274528 -0.18243) (xy 2.265187 -0.167175) (xy 2.270763 -0.156128) (xy 2.281359 -0.139609) + (xy 2.27568 -0.130069) (xy 2.268224 -0.128984) (xy 2.255737 -0.120525) (xy 2.248191 -0.10418) (xy 2.23723 -0.084708) + (xy 2.224791 -0.079217) (xy 2.207195 -0.072879) (xy 2.183333 -0.057139) (xy 2.174027 -0.049451) + (xy 2.152508 -0.031629) (xy 2.13726 -0.021078) (xy 2.134034 -0.019844) (xy 2.12644 -0.011626) (xy 2.117056 0.008177) + (xy 2.116907 0.008569) (xy 2.107352 0.026983) (xy 2.092637 0.03513) (xy 2.065527 0.036981) (xy 2.065123 0.036982) + (xy 2.035711 0.034074) (xy 2.024189 0.025584) (xy 2.024063 0.024263) (xy 2.020641 0.003883) (xy 2.01804 -0.004149) + (xy 2.018961 -0.017415) (xy 2.027962 -0.019844) (xy 2.041785 -0.012355) (xy 2.043906 -0.004961) + (xy 2.048365 0.008522) (xy 2.057829 0.006688) (xy 2.066425 -0.009179) (xy 2.066625 -0.009922) (xy 2.06627 -0.026101) + (xy 2.060341 -0.030096) (xy 2.058643 -0.035528) (xy 2.066956 -0.044648) (xy 2.103438 -0.044648) + (xy 2.108398 -0.039687) (xy 2.113359 -0.044648) (xy 2.108398 -0.049609) (xy 2.103438 -0.044648) + (xy 2.066956 -0.044648) (xy 2.070226 -0.048235) (xy 2.071191 -0.049049) (xy 2.087343 -0.065891) + (xy 2.093516 -0.078485) (xy 2.101538 -0.088072) (xy 2.108398 -0.089297) (xy 2.121723 -0.094915) + (xy 2.120171 -0.105944) (xy 2.110879 -0.111825) (xy 2.105493 -0.119551) (xy 2.115691 -0.135542) + (xy 2.117082 -0.13713) (xy 2.129721 -0.160251) (xy 2.130581 -0.177627) (xy 2.131596 -0.195444) (xy 2.13785 -0.201905) + (xy 2.148792 -0.214205) (xy 2.156433 -0.234792) (xy 2.171388 -0.262498) (xy 2.202229 -0.289951) + (xy 2.20294 -0.290439) (xy 2.225091 -0.307906) (xy 2.237058 -0.322063) (xy 2.237668 -0.32696) (xy 2.239177 -0.339424) + (xy 2.242794 -0.342583) (xy 2.254315 -0.356326) (xy 2.258002 -0.363802) (xy 2.325026 -0.363802) + (xy 2.326388 -0.357904) (xy 2.331641 -0.357187) (xy 2.339807 -0.360818) (xy 2.338255 -0.363802) + (xy 2.32648 -0.36499) (xy 2.325026 -0.363802) (xy 2.258002 -0.363802) (xy 2.262554 -0.373034) (xy 2.274114 -0.391038) + (xy 2.285237 -0.396875) (xy 2.295807 -0.405812) (xy 2.299701 -0.426641) (xy 2.297863 -0.447749) + (xy 2.286998 -0.455541) (xy 2.27343 -0.456406) (xy 2.24898 -0.452409) (xy 2.234732 -0.444825) (xy 2.223685 -0.439534) + (xy 2.216689 -0.450082) (xy 2.203847 -0.462158) (xy 2.191559 -0.460994) (xy 2.176476 -0.460552) + (xy 2.172891 -0.46566) (xy 2.164787 -0.474853) (xy 2.156851 -0.47625) (xy 2.145298 -0.482328) (xy 2.146 -0.496094) + (xy 2.147139 -0.511943) (xy 2.143677 -0.515937) (xy 2.141411 -0.52419) (xy 2.145259 -0.54463) (xy 2.147033 -0.550664) + (xy 2.156978 -0.574249) (xy 2.171001 -0.583884) (xy 2.188186 -0.585391) (xy 2.218058 -0.592807) + (xy 2.242809 -0.614736) (xy 2.261544 -0.633889) (xy 2.277006 -0.644039) (xy 2.279322 -0.644502) + (xy 2.293048 -0.652379) (xy 2.307634 -0.669552) (xy 2.326726 -0.690822) (xy 2.353597 -0.712359) + (xy 2.359952 -0.716489) (xy 2.401298 -0.744201) (xy 2.424676 -0.76538) (xy 2.430859 -0.77865) (xy 2.439151 -0.788112) + (xy 2.460505 -0.801633) (xy 2.480469 -0.811664) (xy 2.507898 -0.826485) (xy 2.525915 -0.840511) + (xy 2.530078 -0.847751) (xy 2.538041 -0.862781) (xy 2.544245 -0.866517) (xy 2.555798 -0.879495) + (xy 2.561609 -0.899059) (xy 2.567253 -0.917508) (xy 2.582322 -0.930219) (xy 2.609001 -0.940835) + (xy 2.634802 -0.951438) (xy 2.797969 -0.951438) (xy 2.801428 -0.94312) (xy 2.812716 -0.951602) (xy 2.818001 -0.957688) + (xy 2.82524 -0.968445) (xy 2.817401 -0.967717) (xy 2.814255 -0.966548) (xy 2.800278 -0.956859) (xy 2.797969 -0.951438) + (xy 2.634802 -0.951438) (xy 2.640374 -0.953728) (xy 2.666299 -0.968552) (xy 2.672515 -0.973503) + (xy 2.689502 -0.985178) (xy 2.699952 -0.986483) (xy 2.708529 -0.991266) (xy 2.719588 -1.009419) + (xy 2.722233 -1.015358) (xy 2.739651 -1.044074) (xy 2.76239 -1.067092) (xy 2.763463 -1.067848) (xy 2.768349 -1.071562) + (xy 2.892227 -1.071562) (xy 2.893013 -1.06242) (xy 2.896602 -1.061641) (xy 2.906706 -1.068843) (xy 2.907109 -1.071562) + (xy 2.903724 -1.081226) (xy 2.902734 -1.081484) (xy 2.894263 -1.074532) (xy 2.892227 -1.071562) + (xy 2.768349 -1.071562) (xy 2.787952 -1.086464) (xy 2.816567 -1.110605) (xy 2.825538 -1.118691) + (xy 2.853891 -1.142346) (xy 2.870924 -1.150442) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7fe5aabd-210d-4da4-95d4-7a65953d9d44") + ) + (fp_poly + (pts + (xy 2.685504 -2.945068) (xy 2.696681 -2.938087) (xy 2.69875 -2.926818) (xy 2.703379 -2.900825) (xy 2.707948 -2.889611) + (xy 2.718838 -2.865392) (xy 2.722519 -2.85502) (xy 2.735639 -2.840622) (xy 2.747462 -2.837656) (xy 2.76904 -2.8314) + (xy 2.775157 -2.812851) (xy 2.769045 -2.789928) (xy 2.762357 -2.765238) (xy 2.756769 -2.729899) + (xy 2.754348 -2.703711) (xy 2.751279 -2.663757) (xy 2.747178 -2.636207) (xy 2.740619 -2.613625) + (xy 2.733905 -2.597029) (xy 2.730315 -2.573937) (xy 2.732443 -2.555469) (xy 2.733954 -2.53523) (xy 2.729677 -2.525835) + (xy 2.721219 -2.511385) (xy 2.713226 -2.478451) (xy 2.705577 -2.426468) (xy 2.702548 -2.399864) + (xy 2.698372 -2.365205) (xy 2.694024 -2.347191) (xy 2.687678 -2.342694) (xy 2.677506 -2.348584) + (xy 2.674185 -2.351285) (xy 2.662308 -2.358444) (xy 2.659086 -2.349758) (xy 2.659063 -2.347738) + (xy 2.664065 -2.333773) (xy 2.668984 -2.331641) (xy 2.677704 -2.32427) (xy 2.677737 -2.307416) (xy 2.669995 -2.28896) + (xy 2.663165 -2.281319) (xy 2.652119 -2.262116) (xy 2.651152 -2.229169) (xy 2.651218 -2.228469) + (xy 2.650344 -2.1949) (xy 2.638797 -2.172536) (xy 2.637194 -2.170865) (xy 2.623293 -2.149957) (xy 2.619375 -2.134418) + (xy 2.612191 -2.112312) (xy 2.605082 -2.103927) (xy 2.595324 -2.087953) (xy 2.59573 -2.079188) (xy 2.592592 -2.06503) + (xy 2.586322 -2.060804) (xy 2.577027 -2.049868) (xy 2.574395 -2.024671) (xy 2.575039 -2.009831) + (xy 2.573963 -1.973299) (xy 2.564045 -1.950507) (xy 2.561794 -1.948055) (xy 2.547899 -1.925986) + (xy 2.544044 -1.910931) (xy 2.539126 -1.886804) (xy 2.529976 -1.860352) (xy 2.520418 -1.834844) + (xy 2.515297 -1.815703) (xy 2.508737 -1.785373) (xy 2.498298 -1.753504) (xy 2.486498 -1.726521) + (xy 2.475855 -1.710845) (xy 2.473628 -1.709429) (xy 2.463346 -1.696705) (xy 2.460625 -1.681911) + (xy 2.45471 -1.658755) (xy 2.446382 -1.647562) (xy 2.436604 -1.632644) (xy 2.424092 -1.604786) (xy 2.411097 -1.570258) + (xy 2.399866 -1.535327) (xy 2.392647 -1.506264) (xy 2.391172 -1.493648) (xy 2.385939 -1.480186) + (xy 2.38125 -1.478359) (xy 2.372191 -1.470441) (xy 2.371328 -1.465014) (xy 2.364529 -1.448778) (xy 2.351718 -1.433923) + (xy 2.338761 -1.418369) (xy 2.336747 -1.408671) (xy 2.334914 -1.396495) (xy 2.3252 -1.373383) (xy 2.315946 -1.35565) + (xy 2.302794 -1.327955) (xy 2.296703 -1.306385) (xy 2.297447 -1.298904) (xy 2.295701 -1.285288) + (xy 2.282018 -1.267548) (xy 2.280807 -1.266434) (xy 2.260546 -1.244292) (xy 2.24294 -1.218584) (xy 2.231193 -1.194944) + (xy 2.228511 -1.179005) (xy 2.22977 -1.176741) (xy 2.227107 -1.169537) (xy 2.214625 -1.16427) (xy 2.199765 -1.15638) + (xy 2.193581 -1.139145) (xy 2.192734 -1.1206) (xy 2.190637 -1.09478) (xy 2.185444 -1.07871) (xy 2.183937 -1.077219) + (xy 2.176554 -1.064718) (xy 2.169436 -1.040689) (xy 2.168167 -1.034465) (xy 2.160993 -1.006715) + (xy 2.152369 -0.986726) (xy 2.150944 -0.984746) (xy 2.146651 -0.974275) (xy 2.154312 -0.972123) + (xy 2.158677 -0.967985) (xy 2.150421 -0.95838) (xy 2.134566 -0.94692) (xy 2.116137 -0.937218) (xy 2.100157 -0.932886) + (xy 2.099742 -0.932877) (xy 2.087226 -0.930132) (xy 2.092112 -0.919484) (xy 2.093192 -0.918164) + (xy 2.099076 -0.904843) (xy 2.09582 -0.885496) (xy 2.085862 -0.861113) (xy 2.064432 -0.809822) (xy 2.051882 -0.770221) + (xy 2.048884 -0.744576) (xy 2.049908 -0.740046) (xy 2.04722 -0.724169) (xy 2.039397 -0.71475) (xy 2.027088 -0.695114) + (xy 2.024063 -0.678783) (xy 2.016012 -0.655629) (xy 2.004219 -0.644922) (xy 1.988333 -0.628809) + (xy 1.984375 -0.615393) (xy 1.979341 -0.596274) (xy 1.973649 -0.589855) (xy 1.967575 -0.576308) + (xy 1.968877 -0.559504) (xy 1.969543 -0.540054) (xy 1.96224 -0.535525) (xy 1.951363 -0.527132) (xy 1.937616 -0.50683) + (xy 1.924691 -0.481438) (xy 1.916283 -0.457774) (xy 1.914922 -0.448195) (xy 1.909888 -0.437212) + (xy 1.907422 -0.436562) (xy 1.899111 -0.428327) (xy 1.888514 -0.408121) (xy 1.886928 -0.404316) + (xy 1.875239 -0.376951) (xy 1.864933 -0.355348) (xy 1.864663 -0.354842) (xy 1.857283 -0.331244) + (xy 1.855391 -0.312674) (xy 1.85282 -0.293952) (xy 1.847855 -0.287734) (xy 1.835035 -0.278825) (xy 1.822654 -0.25591) + (xy 1.813306 -0.224711) (xy 1.810265 -0.204761) (xy 1.801589 -0.169054) (xy 1.780303 -0.141674) + (xy 1.776321 -0.138184) (xy 1.757102 -0.119155) (xy 1.746801 -0.103668) (xy 1.74625 -0.100977) (xy 1.738975 -0.089986) + (xy 1.735267 -0.089297) (xy 1.728799 -0.082018) (xy 1.730304 -0.073608) (xy 1.729772 -0.053812) + (xy 1.72254 -0.038239) (xy 1.713605 -0.014972) (xy 1.713847 0.000909) (xy 1.711002 0.021907) (xy 1.695898 0.053771) + (xy 1.683438 0.073846) (xy 1.663941 0.10516) (xy 1.65474 0.126969) (xy 1.653876 0.145376) (xy 1.656596 0.157504) + (xy 1.660721 0.179434) (xy 1.654686 0.19013) (xy 1.646221 0.193734) (xy 1.630326 0.208061) (xy 1.627188 0.222696) + (xy 1.620884 0.246422) (xy 1.611853 0.258343) (xy 1.601665 0.27524) (xy 1.602154 0.285754) (xy 1.599319 0.302368) + (xy 1.588138 0.314201) (xy 1.575012 0.329951) (xy 1.573959 0.342224) (xy 1.572103 0.358791) (xy 1.560711 0.382337) + (xy 1.556219 0.389081) (xy 1.542456 0.409354) (xy 1.536017 0.420763) (xy 1.536089 0.42168) (xy 1.536161 0.429491) + (xy 1.530509 0.448012) (xy 1.521583 0.469867) (xy 1.516986 0.479134) (xy 1.511869 0.498284) (xy 1.513748 0.507087) + (xy 1.51082 0.517083) (xy 1.499201 0.522723) (xy 1.482501 0.52862) (xy 1.480072 0.538133) (xy 1.48863 0.556277) + (xy 1.492446 0.572338) (xy 1.480145 0.577847) (xy 1.465957 0.576644) (xy 1.460213 0.584092) (xy 1.458516 0.598289) + (xy 1.453834 0.621366) (xy 1.447095 0.63253) (xy 1.439066 0.649424) (xy 1.434015 0.676302) (xy 1.43362 0.681644) + (xy 1.42808 0.711244) (xy 1.41387 0.72732) (xy 1.410504 0.729048) (xy 1.393444 0.740703) (xy 1.383761 0.753819) + (xy 1.384788 0.762792) (xy 1.389723 0.763984) (xy 1.394461 0.770777) (xy 1.389632 0.782764) (xy 1.38563 0.799429) + (xy 1.397176 0.813681) (xy 1.401685 0.81697) (xy 1.423789 0.832397) (xy 1.401465 0.832917) (xy 1.384028 0.837068) + (xy 1.379141 0.843359) (xy 1.371649 0.851884) (xy 1.356223 0.852194) (xy 1.346068 0.846667) (xy 1.340034 0.832134) + (xy 1.352789 0.821654) (xy 1.356816 0.820433) (xy 1.364881 0.816858) (xy 1.353767 0.814914) (xy 1.350714 0.814745) + (xy 1.334931 0.812664) (xy 1.333759 0.804929) (xy 1.343016 0.78953) (xy 1.352465 0.770801) (xy 1.348328 0.76206) + (xy 1.346638 0.761388) (xy 1.339974 0.754094) (xy 1.345249 0.73733) (xy 1.348253 0.731475) (xy 1.357182 0.69837) + (xy 1.356054 0.675836) (xy 1.355969 0.674688) (xy 1.37418 0.674688) (xy 1.374633 0.683845) (xy 1.37797 0.684609) + (xy 1.391996 0.677369) (xy 1.394023 0.674688) (xy 1.39357 0.66553) (xy 1.390234 0.664766) (xy 1.376207 0.672006) + (xy 1.37418 0.674688) (xy 1.355969 0.674688) (xy 1.354397 0.653385) (xy 1.363298 0.642335) (xy 1.369722 0.639801) + (xy 1.382945 0.63103) (xy 1.383352 0.623865) (xy 1.383313 0.608413) (xy 1.384433 0.605234) (xy 1.418828 0.605234) + (xy 1.426378 0.614868) (xy 1.42875 0.615156) (xy 1.438384 0.607606) (xy 1.438672 0.605234) (xy 1.431122 0.595601) + (xy 1.42875 0.595313) (xy 1.419116 0.602863) (xy 1.418828 0.605234) (xy 1.384433 0.605234) (xy 1.391661 0.58472) + (xy 1.40474 0.560316) (xy 1.418892 0.542729) (xy 1.424539 0.539082) (xy 1.437202 0.528958) (xy 1.438672 0.524212) + (xy 1.43253 0.519279) (xy 1.42875 0.520898) (xy 1.420836 0.517976) (xy 1.418828 0.507428) (xy 1.422965 0.489783) + (xy 1.428266 0.484518) (xy 1.435491 0.473407) (xy 1.440852 0.450489) (xy 1.44133 0.446484) (xy 1.447265 0.415865) + (xy 1.456524 0.390407) (xy 1.456697 0.390086) (xy 1.465975 0.366862) (xy 1.468438 0.352744) (xy 1.473001 0.330674) + (xy 1.477635 0.319846) (xy 1.488811 0.294885) (xy 1.492602 0.284224) (xy 1.503628 0.271005) (xy 1.514573 0.272049) + (xy 1.525194 0.27443) (xy 1.520218 0.265565) (xy 1.51886 0.263909) (xy 1.511545 0.243106) (xy 1.512976 0.215652) + (xy 1.521384 0.189596) (xy 1.535001 0.172988) (xy 1.539235 0.171195) (xy 1.554625 0.161038) (xy 1.557734 0.152632) + (xy 1.563926 0.140195) (xy 1.568445 0.138906) (xy 1.574724 0.130469) (xy 1.5729 0.11043) (xy 1.570981 0.089122) + (xy 1.580164 0.078972) (xy 1.588223 0.076311) (xy 1.603389 0.068367) (xy 1.604887 0.051929) (xy 1.603329 0.044884) + (xy 1.601919 0.013244) (xy 1.608943 -0.024182) (xy 1.62201 -0.057938) (xy 1.633475 -0.074323) (xy 1.644254 -0.096195) + (xy 1.643856 -0.110289) (xy 1.646251 -0.132937) (xy 1.66087 -0.165187) (xy 1.667596 -0.176368) (xy 1.683969 -0.205189) + (xy 1.694503 -0.229497) (xy 1.696641 -0.239306) (xy 1.702724 -0.257739) (xy 1.717734 -0.281317) + (xy 1.7215 -0.28599) (xy 1.741276 -0.320197) (xy 1.752652 -0.361437) (xy 1.758082 -0.389792) (xy 1.764605 -0.408866) + (xy 1.767479 -0.412614) (xy 1.774064 -0.425557) (xy 1.776016 -0.442175) (xy 1.78341 -0.465995) (xy 1.80082 -0.488027) + (xy 1.816994 -0.510977) (xy 1.914922 -0.510977) (xy 1.919883 -0.506016) (xy 1.924844 -0.510977) + (xy 1.919883 -0.515937) (xy 1.914922 -0.510977) (xy 1.816994 -0.510977) (xy 1.821913 -0.517956) + (xy 1.82569 -0.540051) (xy 1.83137 -0.569824) (xy 1.844993 -0.599546) (xy 1.845469 -0.600273) (xy 1.858761 -0.623901) + (xy 1.865149 -0.642241) (xy 1.865247 -0.643694) (xy 1.869553 -0.659129) (xy 1.880733 -0.686012) + (xy 1.895078 -0.715925) (xy 1.910519 -0.749165) (xy 1.921278 -0.777783) (xy 1.924844 -0.794047) + (xy 1.928013 -0.81006) (xy 1.932285 -0.813671) (xy 1.941721 -0.822067) (xy 1.952598 -0.841958) (xy 1.961369 -0.865628) + (xy 1.964531 -0.883813) (xy 1.968253 -0.903218) (xy 1.977513 -0.930887) (xy 1.980748 -0.938886) + (xy 1.991422 -0.966655) (xy 1.997977 -0.988365) (xy 1.99866 -0.992187) (xy 2.004538 -1.013762) (xy 2.017096 -1.04567) + (xy 2.033517 -1.080821) (xy 2.035052 -1.08383) (xy 2.04277 -1.107847) (xy 2.043906 -1.118658) (xy 2.050324 -1.137704) + (xy 2.065891 -1.159944) (xy 2.067087 -1.161257) (xy 2.085642 -1.18722) (xy 2.097105 -1.213498) (xy 2.108761 -1.240823) + (xy 2.121673 -1.25847) (xy 2.133797 -1.276161) (xy 2.135479 -1.287978) (xy 2.139749 -1.300999) (xy 2.147261 -1.305783) + (xy 2.160982 -1.319699) (xy 2.162969 -1.328615) (xy 2.16781 -1.354013) (xy 2.179601 -1.378806) (xy 2.194244 -1.39572) + (xy 2.202656 -1.399059) (xy 2.21148 -1.401513) (xy 2.205424 -1.406986) (xy 2.199333 -1.420748) (xy 2.198624 -1.444856) + (xy 2.202465 -1.47081) (xy 2.210025 -1.490109) (xy 2.213942 -1.494085) (xy 2.216917 -1.505785) (xy 2.212842 -1.517554) + (xy 2.209011 -1.532896) (xy 2.22203 -1.540639) (xy 2.222737 -1.540827) (xy 2.225274 -1.542852) (xy 2.252266 -1.542852) + (xy 2.257227 -1.537891) (xy 2.262188 -1.542852) (xy 2.257227 -1.547812) (xy 2.252266 -1.542852) + (xy 2.225274 -1.542852) (xy 2.239294 -1.55404) (xy 2.242344 -1.566426) (xy 2.247095 -1.58814) (xy 2.258853 -1.615998) + (xy 2.262188 -1.622227) (xy 2.275023 -1.649856) (xy 2.281743 -1.673643) (xy 2.282031 -1.67755) (xy 2.288749 -1.698548) + (xy 2.304355 -1.719931) (xy 2.323234 -1.751299) (xy 2.329281 -1.78062) (xy 2.333618 -1.808918) (xy 2.340967 -1.829499) + (xy 2.342537 -1.83176) (xy 2.349094 -1.847315) (xy 2.347856 -1.853235) (xy 2.34897 -1.866273) (xy 2.357617 -1.890306) + (xy 2.365963 -1.908178) (xy 2.381485 -1.944243) (xy 2.393286 -1.981187) (xy 2.396122 -1.994235) + (xy 2.403223 -2.021277) (xy 2.414111 -2.032754) (xy 2.42181 -2.033984) (xy 2.437453 -2.03831) (xy 2.440781 -2.043906) + (xy 2.432669 -2.052523) (xy 2.424741 -2.053828) (xy 2.413722 -2.058646) (xy 2.415395 -2.07584) (xy 2.415489 -2.076152) + (xy 2.427308 -2.117449) (xy 2.432672 -2.145468) (xy 2.431817 -2.165614) (xy 2.424974 -2.183291) + (xy 2.420459 -2.191135) (xy 2.409962 -2.212223) (xy 2.407645 -2.225418) (xy 2.408185 -2.226284) + (xy 2.407504 -2.237381) (xy 2.401553 -2.246751) (xy 2.397645 -2.25621) (xy 2.402224 -2.268725) (xy 2.417375 -2.287464) + (xy 2.445184 -2.31559) (xy 2.44991 -2.320172) (xy 2.483357 -2.355114) (xy 2.500987 -2.380806) (xy 2.503445 -2.399077) + (xy 2.491379 -2.411757) (xy 2.483545 -2.415327) (xy 2.473766 -2.423175) (xy 2.47519 -2.425898) (xy 2.500313 -2.425898) + (xy 2.505273 -2.420937) (xy 2.510234 -2.425898) (xy 2.505273 -2.430859) (xy 2.500313 -2.425898) + (xy 2.47519 -2.425898) (xy 2.480229 -2.435531) (xy 2.487431 -2.458127) (xy 2.485247 -2.481302) (xy 2.483452 -2.508475) + (xy 2.491811 -2.521396) (xy 2.499599 -2.531179) (xy 2.493863 -2.53752) (xy 2.489049 -2.544961) (xy 2.530078 -2.544961) + (xy 2.535039 -2.54) (xy 2.54 -2.544961) (xy 2.535039 -2.549922) (xy 2.530078 -2.544961) (xy 2.489049 -2.544961) + (xy 2.482266 -2.555447) (xy 2.481504 -2.581202) (xy 2.488053 -2.599531) (xy 2.510234 -2.599531) + (xy 2.513865 -2.591364) (xy 2.516849 -2.592917) (xy 2.518036 -2.604692) (xy 2.516849 -2.606146) + (xy 2.51095 -2.604784) (xy 2.510234 -2.599531) (xy 2.488053 -2.599531) (xy 2.490602 -2.606665) (xy 2.503912 -2.621099) + (xy 2.507923 -2.633083) (xy 2.50312 -2.640943) (xy 2.495482 -2.662182) (xy 2.494848 -2.691903) (xy 2.500986 -2.720109) + (xy 2.50515 -2.728516) (xy 2.511643 -2.750176) (xy 2.511713 -2.765614) (xy 2.514435 -2.788313) (xy 2.524757 -2.817279) + (xy 2.528636 -2.825145) (xy 2.542676 -2.85515) (xy 2.552499 -2.882428) (xy 2.553706 -2.887266) (xy 2.564144 -2.915364) + (xy 2.574107 -2.931914) (xy 2.586559 -2.945018) (xy 2.595714 -2.942293) (xy 2.600098 -2.936875) + (xy 2.61025 -2.927881) (xy 2.615996 -2.934395) (xy 2.628415 -2.94247) (xy 2.653102 -2.94662) (xy 2.659889 -2.946797) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "80002e56-9784-4ecf-ab0d-da8a5d6e1c0a") + ) + (fp_poly + (pts + (xy -2.347086 -3.111919) (xy -2.321685 -3.107909) (xy -2.297494 -3.099699) (xy -2.268311 -3.086267) + (xy -2.265619 -3.084958) (xy -2.229802 -3.065586) (xy -2.211658 -3.050702) (xy -2.209208 -3.040486) + (xy -2.207045 -3.028348) (xy -2.197828 -3.026172) (xy -2.181413 -3.017917) (xy -2.17633 -3.008488) + (xy -2.16456 -2.992324) (xy -2.142603 -2.977924) (xy -2.142087 -2.977694) (xy -2.110789 -2.956011) + (xy -2.088098 -2.925653) (xy -2.079742 -2.897607) (xy -2.072679 -2.877225) (xy -2.05722 -2.854283) + (xy -2.057017 -2.85405) (xy -2.035402 -2.829214) (xy -2.057017 -2.814539) (xy -2.071689 -2.801448) + (xy -2.070702 -2.787498) (xy -2.065733 -2.778411) (xy -2.058869 -2.762494) (xy -2.063974 -2.749767) + (xy -2.080424 -2.735296) (xy -2.100757 -2.721498) (xy -2.114569 -2.715972) (xy -2.115648 -2.716113) + (xy -2.122152 -2.716117) (xy -2.121398 -2.706627) (xy -2.113605 -2.684513) (xy -2.108684 -2.665777) + (xy -2.114702 -2.659328) (xy -2.118566 -2.659062) (xy -2.131606 -2.653313) (xy -2.133203 -2.648555) + (xy -2.127125 -2.642629) (xy -2.123281 -2.64418) (xy -2.113629 -2.647368) (xy -2.115535 -2.639588) + (xy -2.1272 -2.624544) (xy -2.138164 -2.613545) (xy -2.15521 -2.593406) (xy -2.162929 -2.575712) + (xy -2.162969 -2.574782) (xy -2.163837 -2.564767) (xy -2.168407 -2.554514) (xy -2.179626 -2.53958) + (xy -2.20044 -2.515522) (xy -2.207771 -2.507243) (xy -2.22432 -2.482531) (xy -2.232293 -2.458735) + (xy -2.232422 -2.456214) (xy -2.238079 -2.435847) (xy -2.247305 -2.427271) (xy -2.259561 -2.413813) + (xy -2.262187 -2.401405) (xy -2.268318 -2.385) (xy -2.27707 -2.38125) (xy -2.289113 -2.372755) (xy -2.291953 -2.358653) + (xy -2.297562 -2.333253) (xy -2.311631 -2.306429) (xy -2.330025 -2.28367) (xy -2.348608 -2.270463) + (xy -2.359021 -2.269661) (xy -2.367103 -2.266897) (xy -2.365706 -2.259081) (xy -2.36871 -2.242726) + (xy -2.384261 -2.220031) (xy -2.390504 -2.213262) (xy -2.409144 -2.192032) (xy -2.419895 -2.175602) + (xy -2.420937 -2.17188) (xy -2.428913 -2.166107) (xy -2.443262 -2.167775) (xy -2.458474 -2.171198) + (xy -2.456098 -2.166474) (xy -2.44805 -2.159782) (xy -2.436542 -2.143325) (xy -2.440288 -2.12265) + (xy -2.460035 -2.095128) (xy -2.469314 -2.085014) (xy -2.503782 -2.046595) (xy -2.524255 -2.018685) + (xy -2.530078 -2.003704) (xy -2.535424 -1.988858) (xy -2.548174 -1.969174) (xy -2.563397 -1.950927) + (xy -2.576162 -1.940389) (xy -2.580145 -1.940009) (xy -2.586487 -1.936758) (xy -2.586926 -1.932178) + (xy -2.588156 -1.89821) (xy -2.596221 -1.878912) (xy -2.613055 -1.86973) (xy -2.613477 -1.869622) + (xy -2.634103 -1.858822) (xy -2.642954 -1.847109) (xy -2.653144 -1.824988) (xy -2.667473 -1.801295) + (xy -2.679949 -1.785937) (xy -2.686619 -1.774088) (xy -2.695694 -1.750853) (xy -2.698082 -1.74377) + (xy -2.709038 -1.720108) (xy -2.721277 -1.707306) (xy -2.724324 -1.706562) (xy -2.735478 -1.697973) + (xy -2.738437 -1.682426) (xy -2.746191 -1.659655) (xy -2.759188 -1.651704) (xy -2.774806 -1.640064) + (xy -2.77509 -1.626576) (xy -2.778071 -1.608624) (xy -2.789066 -1.602059) (xy -2.804739 -1.587824) + (xy -2.807891 -1.57325) (xy -2.810889 -1.557347) (xy -2.823835 -1.552864) (xy -2.837222 -1.553831) + (xy -2.859976 -1.553349) (xy -2.872534 -1.547568) (xy -2.868584 -1.540583) (xy -2.850644 -1.537575) + (xy -2.832236 -1.536362) (xy -2.831355 -1.531433) (xy -2.839982 -1.524192) (xy -2.850718 -1.510824) + (xy -2.849904 -1.503083) (xy -2.851112 -1.499154) (xy -2.85998 -1.501272) (xy -2.874625 -1.501138) + (xy -2.877344 -1.492931) (xy -2.884141 -1.479859) (xy -2.889746 -1.478207) (xy -2.894911 -1.474777) + (xy -2.887266 -1.468438) (xy -2.879193 -1.460415) (xy -2.884785 -1.458668) (xy -2.896219 -1.450699) + (xy -2.897187 -1.445756) (xy -2.905045 -1.431529) (xy -2.924774 -1.412496) (xy -2.950604 -1.392944) + (xy -2.976768 -1.377158) (xy -2.997498 -1.369425) (xy -3.000194 -1.369219) (xy -3.013452 -1.366892) + (xy -3.009082 -1.358093) (xy -3.008312 -1.357312) (xy -2.997512 -1.339227) (xy -2.999755 -1.324477) + (xy -3.011289 -1.319609) (xy -3.023583 -1.311415) (xy -3.026172 -1.300907) (xy -3.033901 -1.28248) + (xy -3.051755 -1.265442) (xy -3.069788 -1.247803) (xy -3.071557 -1.233617) (xy -3.07416 -1.219081) + (xy -3.085662 -1.213354) (xy -3.10191 -1.201222) (xy -3.105547 -1.189468) (xy -3.112457 -1.173925) + (xy -3.121292 -1.170781) (xy -3.135356 -1.162393) (xy -3.147856 -1.142079) (xy -3.148346 -1.140818) + (xy -3.160416 -1.120066) (xy -3.172938 -1.11595) (xy -3.181842 -1.114801) (xy -3.179941 -1.101266) + (xy -3.17895 -1.085484) (xy -3.183942 -1.081484) (xy -3.190021 -1.074257) (xy -3.188598 -1.066832) + (xy -3.192276 -1.051541) (xy -3.208563 -1.040522) (xy -3.229796 -1.025176) (xy -3.240382 -1.009226) + (xy -3.251739 -0.987393) (xy -3.270282 -0.963429) (xy -3.290595 -0.943309) (xy -3.307263 -0.933012) + (xy -3.309759 -0.932656) (xy -3.324197 -0.940527) (xy -3.326722 -0.945059) (xy -3.333934 -0.952275) + (xy -3.343551 -0.945923) (xy -3.350271 -0.928842) (xy -3.347551 -0.921118) (xy -3.338592 -0.900258) + (xy -3.337177 -0.894018) (xy -3.343004 -0.8779) (xy -3.359669 -0.859914) (xy -3.380063 -0.84665) + (xy -3.391469 -0.843689) (xy -3.400138 -0.83611) (xy -3.398687 -0.824916) (xy -3.400745 -0.803154) + (xy -3.40794 -0.793936) (xy -3.420523 -0.779791) (xy -3.423047 -0.773142) (xy -3.431029 -0.757126) + (xy -3.450859 -0.737716) (xy -3.476364 -0.719828) (xy -3.501372 -0.708374) (xy -3.505776 -0.707287) + (xy -3.532829 -0.695405) (xy -3.564636 -0.671667) (xy -3.579541 -0.657488) (xy -3.606049 -0.632354) + (xy -3.626223 -0.61977) (xy -3.645837 -0.616564) (xy -3.653191 -0.617025) (xy -3.675102 -0.622683) + (xy -3.687716 -0.6319) (xy -3.688277 -0.640647) (xy -3.674032 -0.644897) (xy -3.67227 -0.644922) + (xy -3.651434 -0.653421) (xy -3.637655 -0.673334) (xy -3.636545 -0.696283) (xy -3.636864 -0.69718) + (xy -3.61671 -0.69718) (xy -3.615151 -0.68957) (xy -3.608108 -0.676515) (xy -3.60554 -0.674687) + (xy -3.602072 -0.68279) (xy -3.601641 -0.68957) (xy -3.606833 -0.702777) (xy -3.611251 -0.704453) + (xy -3.61671 -0.69718) (xy -3.636864 -0.69718) (xy -3.636998 -0.697558) (xy -3.634436 -0.709266) + (xy -3.614523 -0.717119) (xy -3.609545 -0.718129) (xy -3.579611 -0.730713) (xy -3.566783 -0.74663) + (xy -3.553861 -0.763828) (xy -3.542245 -0.768945) (xy -3.528655 -0.777299) (xy -3.523734 -0.788789) + (xy -3.515467 -0.808782) (xy -3.508715 -0.816074) (xy -3.503694 -0.825349) (xy -3.511182 -0.832358) + (xy -3.519492 -0.841624) (xy -3.51473 -0.855366) (xy -3.509798 -0.862765) (xy -3.499945 -0.885658) + (xy -3.499413 -0.902379) (xy -3.496378 -0.918885) (xy -3.476277 -0.934248) (xy -3.471987 -0.936464) + (xy -3.448505 -0.947126) (xy -3.432788 -0.952384) (xy -3.431558 -0.9525) (xy -3.416464 -0.959237) + (xy -3.398467 -0.974515) (xy -3.385491 -0.990939) (xy -3.383359 -0.997488) (xy -3.375895 -1.010743) + (xy -3.358099 -1.026714) (xy -3.336867 -1.040537) (xy -3.319095 -1.047349) (xy -3.313694 -1.046626) + (xy -3.306469 -1.050229) (xy -3.303984 -1.064801) (xy -3.298233 -1.088666) (xy -3.289173 -1.101269) + (xy -3.277076 -1.118497) (xy -3.265908 -1.145545) (xy -3.263986 -1.152093) (xy -3.255129 -1.175336) + (xy -3.245124 -1.189467) (xy -3.237205 -1.191344) (xy -3.234531 -1.180703) (xy -3.228229 -1.171058) + (xy -3.226302 -1.170781) (xy -3.22018 -1.179175) (xy -3.218861 -1.193105) (xy -3.215953 -1.205508) + (xy -3.184922 -1.205508) (xy -3.179961 -1.200547) (xy -3.175 -1.205508) (xy -3.179961 -1.210469) + (xy -3.184922 -1.205508) (xy -3.215953 -1.205508) (xy -3.214944 -1.209814) (xy -3.207246 -1.212949) + (xy -3.203343 -1.21543) (xy -3.135312 -1.21543) (xy -3.130352 -1.210469) (xy -3.125391 -1.21543) + (xy -3.130352 -1.220391) (xy -3.135312 -1.21543) (xy -3.203343 -1.21543) (xy -3.197486 -1.219153) + (xy -3.194844 -1.231699) (xy -3.185997 -1.256405) (xy -3.163667 -1.274214) (xy -3.140273 -1.279758) + (xy -3.127632 -1.28187) (xy -3.133385 -1.288426) (xy -3.134118 -1.288896) (xy -3.138729 -1.296739) + (xy -3.134428 -1.311398) (xy -3.119851 -1.335936) (xy -3.101062 -1.363073) (xy -3.078153 -1.394344) + (xy -3.058943 -1.419054) (xy -3.046804 -1.432908) (xy -3.045205 -1.434212) (xy -3.037516 -1.44776) + (xy -3.037408 -1.448594) (xy -3.01625 -1.448594) (xy -3.012351 -1.432467) (xy -3.006945 -1.42875) + (xy -2.966641 -1.42875) (xy -2.96301 -1.420583) (xy -2.960026 -1.422135) (xy -2.958839 -1.43391) + (xy -2.960026 -1.435365) (xy -2.965925 -1.434003) (xy -2.966641 -1.42875) (xy -3.006945 -1.42875) + (xy -3.00003 -1.436987) (xy -2.9999 -1.438672) (xy -2.936875 -1.438672) (xy -2.933245 -1.430505) + (xy -2.93026 -1.432057) (xy -2.929073 -1.443832) (xy -2.93026 -1.445286) (xy -2.936159 -1.443924) + (xy -2.936875 -1.438672) (xy -2.9999 -1.438672) (xy -2.999134 -1.448594) (xy -3.00364 -1.464749) + (xy -3.00844 -1.468437) (xy -3.01479 -1.46011) (xy -3.01625 -1.448594) (xy -3.037408 -1.448594) + (xy -3.036094 -1.458752) (xy -3.028108 -1.478196) (xy -3.016367 -1.488219) (xy -2.998588 -1.50579) + (xy -2.990487 -1.523294) (xy -2.982828 -1.541733) (xy -2.975487 -1.547812) (xy -2.967719 -1.555903) + (xy -2.966641 -1.563281) (xy -2.961831 -1.573551) (xy -2.956719 -1.572617) (xy -2.952467 -1.574271) + (xy -2.933568 -1.574271) (xy -2.932206 -1.568372) (xy -2.926953 -1.567656) (xy -2.918786 -1.571287) + (xy -2.920339 -1.574271) (xy -2.932113 -1.575458) (xy -2.933568 -1.574271) (xy -2.952467 -1.574271) + (xy -2.948909 -1.575655) (xy -2.946797 -1.586914) (xy -2.943107 -1.602383) (xy -2.897187 -1.602383) + (xy -2.892227 -1.597422) (xy -2.887266 -1.602383) (xy -2.892227 -1.607344) (xy -2.897187 -1.602383) + (xy -2.943107 -1.602383) (xy -2.942875 -1.603354) (xy -2.937186 -1.607344) (xy -2.931663 -1.61459) + (xy -2.933158 -1.621891) (xy -2.931773 -1.627187) (xy -2.807891 -1.627187) (xy -2.80034 -1.617554) + (xy -2.797969 -1.617266) (xy -2.788335 -1.624816) (xy -2.788047 -1.627187) (xy -2.795597 -1.636821) + (xy -2.797969 -1.637109) (xy -2.807602 -1.629559) (xy -2.807891 -1.627187) (xy -2.931773 -1.627187) + (xy -2.929166 -1.637156) (xy -2.910732 -1.661652) (xy -2.890959 -1.682052) (xy -2.823568 -1.682052) + (xy -2.822773 -1.676797) (xy -2.814287 -1.667342) (xy -2.812852 -1.666875) (xy -2.804963 -1.673795) + (xy -2.80293 -1.676797) (xy -2.805286 -1.685203) (xy -2.812852 -1.686719) (xy -2.823568 -1.682052) + (xy -2.890959 -1.682052) (xy -2.888199 -1.684899) (xy -2.863097 -1.710483) (xy -2.845006 -1.731813) + (xy -2.837673 -1.744452) (xy -2.837656 -1.744765) (xy -2.829347 -1.753979) (xy -2.817501 -1.756172) + (xy -2.797615 -1.763758) (xy -2.78934 -1.781418) (xy -2.790552 -1.785937) (xy -2.768203 -1.785937) + (xy -2.764573 -1.777771) (xy -2.761589 -1.779323) (xy -2.760401 -1.791098) (xy -2.761589 -1.792552) + (xy -2.767487 -1.79119) (xy -2.768203 -1.785937) (xy -2.790552 -1.785937) (xy -2.793369 -1.796444) + (xy -2.802134 -1.801865) (xy -2.808979 -1.794438) (xy -2.815937 -1.787025) (xy -2.817661 -1.797199) + (xy -2.810113 -1.813849) (xy -2.792692 -1.829881) (xy -2.776662 -1.84393) (xy -2.772897 -1.85495) + (xy -2.772992 -1.855112) (xy -2.769498 -1.864514) (xy -2.758425 -1.870228) (xy -2.741664 -1.884774) + (xy -2.738437 -1.901637) (xy -2.735914 -1.909961) (xy -2.718594 -1.909961) (xy -2.713633 -1.905) + (xy -2.708672 -1.909961) (xy -2.713633 -1.914922) (xy -2.718594 -1.909961) (xy -2.735914 -1.909961) + (xy -2.731559 -1.924328) (xy -2.719658 -1.931612) (xy -2.703553 -1.944636) (xy -2.695273 -1.964551) + (xy -2.685308 -1.98752) (xy -2.665843 -2.016008) (xy -2.650952 -2.033277) (xy -2.627176 -2.062261) + (xy -2.608824 -2.091752) (xy -2.602811 -2.10607) (xy -2.594866 -2.123281) (xy -2.460625 -2.123281) + (xy -2.456995 -2.115114) (xy -2.45401 -2.116667) (xy -2.452823 -2.128442) (xy -2.45401 -2.129896) + (xy -2.459909 -2.128534) (xy -2.460625 -2.123281) (xy -2.594866 -2.123281) (xy -2.592111 -2.129248) + (xy -2.57752 -2.135281) (xy -2.575619 -2.13502) (xy -2.56362 -2.136616) (xy -2.5642 -2.142147) (xy -2.564186 -2.15734) + (xy -2.556245 -2.182279) (xy -2.5435 -2.210228) (xy -2.529074 -2.23445) (xy -2.51609 -2.24821) (xy -2.514723 -2.248859) + (xy -2.501841 -2.261073) (xy -2.500312 -2.267534) (xy -2.492858 -2.281442) (xy -2.476592 -2.296914) + (xy -2.341562 -2.296914) (xy -2.336602 -2.291953) (xy -2.331641 -2.296914) (xy -2.336602 -2.301875) + (xy -2.341562 -2.296914) (xy -2.476592 -2.296914) (xy -2.474471 -2.298932) (xy -2.469917 -2.302324) + (xy -2.450387 -2.320609) (xy -2.44441 -2.336233) (xy -2.444834 -2.337812) (xy -2.44556 -2.357069) + (xy -2.440748 -2.376375) (xy -2.42785 -2.395189) (xy -2.404737 -2.401047) (xy -2.401339 -2.401094) + (xy -2.377632 -2.40423) (xy -2.372443 -2.414007) (xy -2.373864 -2.415977) (xy -2.291953 -2.415977) + (xy -2.286992 -2.411016) (xy -2.282031 -2.415977) (xy -2.286992 -2.420937) (xy -2.291953 -2.415977) + (xy -2.373864 -2.415977) (xy -2.383 -2.428641) (xy -2.388877 -2.441781) (xy -2.379213 -2.45791) + (xy -2.363507 -2.482055) (xy -2.357111 -2.497325) (xy -2.344777 -2.517037) (xy -2.333112 -2.52465) + (xy -2.33256 -2.525117) (xy -2.212578 -2.525117) (xy -2.207617 -2.520156) (xy -2.202656 -2.525117) + (xy -2.207617 -2.530078) (xy -2.212578 -2.525117) (xy -2.33256 -2.525117) (xy -2.318439 -2.537066) + (xy -2.304936 -2.560415) (xy -2.303211 -2.564845) (xy -2.292811 -2.587401) (xy -2.283041 -2.599082) + (xy -2.281389 -2.599531) (xy -2.27369 -2.607792) (xy -2.272109 -2.61816) (xy -2.264903 -2.640082) + (xy -2.257227 -2.649141) (xy -2.246356 -2.66739) (xy -2.241619 -2.692523) (xy -2.235646 -2.723208) + (xy -2.222331 -2.75332) (xy -2.19757 -2.794664) (xy -2.18345 -2.822881) (xy -2.178824 -2.840807) + (xy -2.182546 -2.851279) (xy -2.182659 -2.851394) (xy -2.191002 -2.854104) (xy -2.192734 -2.844602) + (xy -2.196374 -2.829808) (xy -2.200176 -2.827101) (xy -2.214493 -2.825755) (xy -2.238558 -2.823401) + (xy -2.238799 -2.823377) (xy -2.269116 -2.823495) (xy -2.285579 -2.833806) (xy -2.291731 -2.853689) + (xy -2.23778 -2.853689) (xy -2.235046 -2.843587) (xy -2.22135 -2.837911) (xy -2.2133 -2.849466) + (xy -2.212578 -2.8575) (xy -2.216293 -2.873629) (xy -2.221438 -2.877344) (xy -2.232318 -2.869428) + (xy -2.23778 -2.853689) (xy -2.291731 -2.853689) (xy -2.292973 -2.857704) (xy -2.293538 -2.862163) + (xy -2.296853 -2.879611) (xy -2.305206 -2.888345) (xy -2.324078 -2.891439) (xy -2.346523 -2.891896) + (xy -2.376048 -2.891306) (xy -2.3912 -2.887104) (xy -2.397435 -2.876417) (xy -2.399357 -2.864062) + (xy -2.402494 -2.84528) (xy -2.407947 -2.84408) (xy -2.416405 -2.85414) (xy -2.426217 -2.865265) + (xy -2.429899 -2.860414) (xy -2.430544 -2.844389) (xy -2.437172 -2.81268) (xy -2.453322 -2.794147) + (xy -2.47467 -2.790542) (xy -2.496889 -2.803616) (xy -2.505218 -2.81416) (xy -2.518723 -2.830701) + (xy -2.52793 -2.83045) (xy -2.530408 -2.8272) (xy -2.540424 -2.819255) (xy -2.552984 -2.826159) + (xy -2.564339 -2.831648) (xy -2.5714 -2.821397) (xy -2.573999 -2.812216) (xy -2.582925 -2.793523) + (xy -2.59609 -2.792218) (xy -2.607069 -2.792763) (xy -2.605597 -2.782387) (xy -2.600217 -2.763451) + (xy -2.599531 -2.75747) (xy -2.594455 -2.747686) (xy -2.582548 -2.751147) (xy -2.568789 -2.765154) + (xy -2.561434 -2.778125) (xy -2.548122 -2.801407) (xy -2.538016 -2.806168) (xy -2.532658 -2.792401) + (xy -2.532247 -2.778292) (xy -2.535894 -2.757009) (xy -2.549328 -2.74659) (xy -2.565319 -2.742647) + (xy -2.601377 -2.738454) (xy -2.623234 -2.744183) (xy -2.635053 -2.762226) (xy -2.639861 -2.785512) + (xy -2.645402 -2.817283) (xy -2.653363 -2.832744) (xy -2.667259 -2.8354) (xy -2.685107 -2.830628) + (xy -2.708021 -2.819343) (xy -2.716152 -2.807851) (xy -2.708454 -2.799735) (xy -2.694616 -2.797969) + (xy -2.676068 -2.794739) (xy -2.669495 -2.780981) (xy -2.668984 -2.769418) (xy -2.67494 -2.740437) + (xy -2.690318 -2.723846) (xy -2.711387 -2.72282) (xy -2.719265 -2.726632) (xy -2.743085 -2.737457) + (xy -2.755627 -2.732535) (xy -2.758281 -2.718594) (xy -2.764683 -2.702271) (xy -2.773599 -2.69875) + (xy -2.786142 -2.692381) (xy -2.787306 -2.686348) (xy -2.787901 -2.660803) (xy -2.800253 -2.647196) + (xy -2.807891 -2.64418) (xy -2.823974 -2.633405) (xy -2.827734 -2.624253) (xy -2.834868 -2.607142) + (xy -2.850735 -2.602065) (xy -2.857982 -2.60479) (xy -2.862869 -2.615634) (xy -2.857811 -2.623962) + (xy -2.852149 -2.641455) (xy -2.856635 -2.659621) (xy -2.868678 -2.66891) (xy -2.870021 -2.668984) + (xy -2.872049 -2.675001) (xy -2.862648 -2.688621) (xy -2.851074 -2.703445) (xy -2.853384 -2.710924) + (xy -2.860855 -2.71439) (xy -2.881206 -2.71265) (xy -2.90031 -2.694693) (xy -2.910229 -2.675396) + (xy -2.922457 -2.662223) (xy -2.932646 -2.662932) (xy -2.94363 -2.663278) (xy -2.94255 -2.651389) + (xy -2.942101 -2.640164) (xy -2.953036 -2.634708) (xy -2.978344 -2.632834) (xy -3.01526 -2.626536) + (xy -3.032849 -2.613745) (xy -3.042893 -2.603333) (xy -3.046053 -2.605076) (xy -3.050464 -2.604011) + (xy -3.061336 -2.589594) (xy -3.064476 -2.584648) (xy -3.08831 -2.552589) (xy -3.117034 -2.529485) + (xy -3.157231 -2.510408) (xy -3.170039 -2.50562) (xy -3.213066 -2.484347) (xy -3.249655 -2.455708) + (xy -3.273979 -2.424513) (xy -3.277443 -2.416925) (xy -3.28966 -2.403158) (xy -3.297174 -2.401094) + (xy -3.317704 -2.392174) (xy -3.333465 -2.369381) (xy -3.340609 -2.338664) (xy -3.3407 -2.33379) + (xy -3.343089 -2.308518) (xy -3.349326 -2.29227) (xy -3.349631 -2.291947) (xy -3.365774 -2.286575) + (xy -3.385965 -2.29052) (xy -3.400768 -2.300955) (xy -3.403203 -2.307993) (xy -3.403737 -2.317279) + (xy -3.408239 -2.320362) (xy -3.421063 -2.316716) (xy -3.446562 -2.305812) (xy -3.456101 -2.301593) + (xy -3.490888 -2.284282) (xy -3.507034 -2.271153) (xy -3.505155 -2.261607) (xy -3.500003 -2.258857) + (xy -3.498011 -2.254747) (xy -3.508706 -2.253049) (xy -3.528032 -2.244692) (xy -3.534711 -2.233766) + (xy -3.548782 -2.217588) (xy -3.574996 -2.208617) (xy -3.605186 -2.197113) (xy -3.616861 -2.18175) + (xy -3.627511 -2.167298) (xy -3.637112 -2.166843) (xy -3.652002 -2.16347) (xy -3.661625 -2.152201) + (xy -3.676944 -2.137581) (xy -3.691591 -2.138371) (xy -3.710031 -2.13739) (xy -3.717549 -2.126446) + (xy -3.728107 -2.109343) (xy -3.747839 -2.085286) (xy -3.762149 -2.070024) (xy -3.783515 -2.0452) + (xy -3.797272 -2.023062) (xy -3.800078 -2.013322) (xy -3.808113 -1.995074) (xy -3.822402 -1.983338) + (xy -3.840781 -1.970121) (xy -3.866387 -1.947322) (xy -3.890216 -1.923458) (xy -3.91459 -1.898967) + (xy -3.934293 -1.881608) (xy -3.944819 -1.875234) (xy -3.957336 -1.868314) (xy -3.975741 -1.851714) + (xy -3.994077 -1.831679) (xy -4.00639 -1.814453) (xy -4.008437 -1.808529) (xy -4.01502 -1.79662) + (xy -4.03147 -1.777738) (xy -4.038203 -1.771055) (xy -4.056868 -1.750798) (xy -4.067225 -1.734946) + (xy -4.067969 -1.731864) (xy -4.076017 -1.72013) (xy -4.090249 -1.711396) (xy -4.110888 -1.695314) + (xy -4.125479 -1.674396) (xy -4.142731 -1.649952) (xy -4.164865 -1.632807) (xy -4.184149 -1.628228) + (xy -4.207616 -1.626921) (xy -4.216751 -1.613732) (xy -4.216797 -1.612305) (xy -4.222154 -1.599101) + (xy -4.226719 -1.597422) (xy -4.23486 -1.589138) (xy -4.236641 -1.578164) (xy -4.240164 -1.559877) + (xy -4.244406 -1.554106) (xy -4.248775 -1.542089) (xy -4.250219 -1.517933) (xy -4.249912 -1.508872) + (xy -4.250685 -1.480747) (xy -4.257652 -1.469105) (xy -4.261262 -1.468437) (xy -4.27459 -1.47668) + (xy -4.27858 -1.485801) (xy -4.283187 -1.492885) (xy -4.291888 -1.483706) (xy -4.300533 -1.468437) + (xy -4.318188 -1.440879) (xy -4.340631 -1.41365) (xy -4.363183 -1.39169) (xy -4.381165 -1.379938) + (xy -4.385124 -1.379141) (xy -4.396924 -1.371383) (xy -4.408523 -1.353287) (xy -4.416379 -1.332613) + (xy -4.416949 -1.317123) (xy -4.414701 -1.314319) (xy -4.413151 -1.30275) (xy -4.425199 -1.280753) + (xy -4.42962 -1.27473) (xy -4.445868 -1.247446) (xy -4.454497 -1.221292) (xy -4.454922 -1.216092) + (xy -4.459983 -1.195647) (xy -4.469805 -1.190625) (xy -4.482408 -1.182505) (xy -4.484729 -1.173262) + (xy -4.489074 -1.1547) (xy -4.500101 -1.126728) (xy -4.50805 -1.110019) (xy -4.531623 -1.059193) + (xy -4.543364 -1.022677) (xy -4.54463 -1.003588) (xy -4.550411 -0.989231) (xy -4.566999 -0.969148) + (xy -4.575106 -0.96142) (xy -4.592482 -0.944272) (xy -4.599375 -0.933981) (xy -4.598096 -0.932657) + (xy -4.591249 -0.92454) (xy -4.589796 -0.905497) (xy -4.593058 -0.883496) (xy -4.600358 -0.866504) + (xy -4.60402 -0.863036) (xy -4.612024 -0.85219) (xy -4.60616 -0.841302) (xy -4.600666 -0.821658) + (xy -4.604166 -0.813261) (xy -4.612426 -0.790523) (xy -4.61352 -0.780639) (xy -4.620338 -0.759481) + (xy -4.630327 -0.745912) (xy -4.641507 -0.733147) (xy -4.638074 -0.727857) (xy -4.630327 -0.72605) + (xy -4.617718 -0.717612) (xy -4.61369 -0.704418) (xy -4.619949 -0.69521) (xy -4.624179 -0.694531) + (xy -4.630358 -0.688574) (xy -4.629069 -0.685442) (xy -4.628511 -0.670647) (xy -4.634996 -0.648235) + (xy -4.642718 -0.622242) (xy -4.644365 -0.602754) (xy -4.647399 -0.588145) (xy -4.652736 -0.585391) + (xy -4.659043 -0.576413) (xy -4.662771 -0.55317) (xy -4.663281 -0.538196) (xy -4.666267 -0.493452) + (xy -4.674296 -0.454144) (xy -4.685974 -0.425625) (xy -4.695346 -0.415088) (xy -4.702754 -0.408348) + (xy -4.695527 -0.406949) (xy -4.684536 -0.398805) (xy -4.683125 -0.391914) (xy -4.691205 -0.379202) + (xy -4.699904 -0.377031) (xy -4.711355 -0.375905) (xy -4.706483 -0.369635) (xy -4.699841 -0.364629) + (xy -4.689335 -0.352943) (xy -4.688773 -0.336088) (xy -4.693647 -0.3175) (xy -4.698401 -0.293439) + (xy -4.703014 -0.255231) (xy -4.706894 -0.208568) (xy -4.709067 -0.169119) (xy -4.711637 -0.12301) + (xy -4.715001 -0.083968) (xy -4.718702 -0.056407) (xy -4.721917 -0.045095) (xy -4.732763 -0.022064) + (xy -4.737385 0.0056) (xy -4.73414 0.027369) (xy -4.733433 0.028635) (xy -4.719028 0.037779) (xy -4.692893 0.044522) + (xy -4.681756 0.045877) (xy -4.648917 0.050963) (xy -4.620886 0.05908) (xy -4.615507 0.061514) (xy -4.594417 0.068059) + (xy -4.585741 0.063081) (xy -4.571986 0.053328) (xy -4.54918 0.044865) (xy -4.518567 0.03961) (xy -4.477878 0.036201) + (xy -4.433548 0.034755) (xy -4.392015 0.035391) (xy -4.359714 0.038226) (xy -4.347055 0.041174) + (xy -4.32138 0.044397) (xy -4.311028 0.039665) (xy -4.294493 0.034984) (xy -4.260918 0.032862) (xy -4.21296 0.033433) + (xy -4.198133 0.034054) (xy -4.151507 0.035374) (xy -4.11787 0.03447) (xy -4.099952 0.031471) (xy -4.097734 0.029328) + (xy -4.089691 0.020912) (xy -4.082852 0.019844) (xy -4.070731 0.011572) (xy -4.067969 0) (xy -4.062678 -0.016102) + (xy -4.055291 -0.019844) (xy -4.039276 -0.026749) (xy -4.027748 -0.037207) (xy -4.012949 -0.055827) + (xy -3.99028 -0.085831) (xy -3.963283 -0.122368) (xy -3.9355 -0.160588) (xy -3.910472 -0.195641) + (xy -3.891742 -0.222675) (xy -3.88647 -0.230684) (xy -3.87059 -0.249599) (xy -3.856023 -0.257948) + (xy -3.855481 -0.257969) (xy -3.84039 -0.265971) (xy -3.836145 -0.272937) (xy -3.823255 -0.288227) + (xy -3.806408 -0.298838) (xy -3.782969 -0.316245) (xy -3.769683 -0.333559) (xy -3.751741 -0.351109) + (xy -3.310496 -0.351109) (xy -3.308945 -0.347266) (xy -3.300029 -0.3378) (xy -3.298438 -0.337344) + (xy -3.294176 -0.34502) (xy -3.294062 -0.347266) (xy -3.30169 -0.356806) (xy -3.30457 -0.357187) + (xy -3.310496 -0.351109) (xy -3.751741 -0.351109) (xy -3.747618 -0.355142) (xy -3.717739 -0.367109) + (xy -3.512344 -0.367109) (xy -3.508713 -0.358943) (xy -3.505729 -0.360495) (xy -3.505562 -0.362148) + (xy -3.462734 -0.362148) (xy -3.457773 -0.357187) (xy -3.452812 -0.362148) (xy -3.33375 -0.362148) + (xy -3.328789 -0.357187) (xy -3.323828 -0.362148) (xy -3.328789 -0.367109) (xy -3.33375 -0.362148) + (xy -3.452812 -0.362148) (xy -3.457773 -0.367109) (xy -3.462734 -0.362148) (xy -3.505562 -0.362148) + (xy -3.504542 -0.37227) (xy -3.505729 -0.373724) (xy -3.511628 -0.372362) (xy -3.512344 -0.367109) + (xy -3.717739 -0.367109) (xy -3.716503 -0.367604) (xy -3.685247 -0.376772) (xy -3.666714 -0.383646) + (xy -3.41974 -0.383646) (xy -3.418378 -0.377747) (xy -3.413125 -0.377031) (xy -3.404958 -0.380662) + (xy -3.40651 -0.383646) (xy -3.418285 -0.384833) (xy -3.41974 -0.383646) (xy -3.666714 -0.383646) + (xy -3.659036 -0.386494) (xy -3.654913 -0.388411) (xy -3.628872 -0.394092) (xy -3.612049 -0.392068) + (xy -3.594019 -0.390824) (xy -3.586164 -0.40349) (xy -3.389974 -0.40349) (xy -3.388612 -0.397591) + (xy -3.383359 -0.396875) (xy -3.375193 -0.400505) (xy -3.376745 -0.40349) (xy -3.38852 -0.404677) + (xy -3.389974 -0.40349) (xy -3.586164 -0.40349) (xy -3.585104 -0.4052) (xy -3.584695 -0.406707) + (xy -3.579444 -0.419535) (xy -3.569401 -0.423638) (xy -3.549526 -0.419475) (xy -3.527227 -0.411948) + (xy -3.514602 -0.408336) (xy -3.519117 -0.413322) (xy -3.522266 -0.415631) (xy -3.530828 -0.422328) + (xy -3.531591 -0.426486) (xy -3.521486 -0.428886) (xy -3.497445 -0.430309) (xy -3.460254 -0.431432) + (xy -3.426726 -0.431236) (xy -3.402703 -0.428959) (xy -3.393286 -0.425092) (xy -3.393281 -0.424998) + (xy -3.385611 -0.417027) (xy -3.382774 -0.416719) (xy -3.37664 -0.422698) (xy -3.377975 -0.425955) + (xy -3.380107 -0.437613) (xy -3.370675 -0.44015) (xy -3.355859 -0.433326) (xy -3.349027 -0.427076) + (xy -3.329983 -0.414403) (xy -3.316307 -0.414113) (xy -3.305896 -0.415) (xy -3.307852 -0.408566) + (xy -3.306283 -0.394557) (xy -3.294109 -0.372816) (xy -3.275589 -0.348757) (xy -3.254981 -0.327796) + (xy -3.236543 -0.315348) (xy -3.233845 -0.314446) (xy -3.216754 -0.302364) (xy -3.202919 -0.281284) + (xy -3.188269 -0.255026) (xy -3.167611 -0.226035) (xy -3.162993 -0.220416) (xy -3.14579 -0.197173) + (xy -3.136098 -0.178279) (xy -3.135312 -0.174114) (xy -3.128657 -0.159282) (xy -3.124388 -0.156762) + (xy -3.115967 -0.145994) (xy -3.104466 -0.12149) (xy -3.091816 -0.088717) (xy -3.079948 -0.053138) + (xy -3.070796 -0.020218) (xy -3.066289 0.004577) (xy -3.066116 0.008094) (xy -3.061568 0.035183) + (xy -3.055973 0.049543) (xy -3.050599 0.068029) (xy -3.045448 0.099973) (xy -3.041509 0.139001) + (xy -3.041048 0.145629) (xy -3.036674 0.191352) (xy -3.030027 0.236925) (xy -3.022538 0.272559) + (xy -3.022458 0.272852) (xy -3.015431 0.308951) (xy -3.015229 0.337148) (xy -3.016815 0.343525) + (xy -3.019714 0.363618) (xy -3.016126 0.373291) (xy -3.012888 0.387108) (xy -3.012925 0.415071) + (xy -3.016148 0.45105) (xy -3.019789 0.486456) (xy -3.021177 0.513934) (xy -3.02003 0.527749) (xy -3.019922 0.527945) + (xy -3.005183 0.535776) (xy -2.983471 0.529286) (xy -2.960811 0.510977) (xy -2.940492 0.493914) + (xy -2.922414 0.486209) (xy -2.921485 0.486172) (xy -2.899393 0.481765) (xy -2.873562 0.470981) + (xy -2.850922 0.457473) (xy -2.838404 0.444894) (xy -2.837656 0.441986) (xy -2.828755 0.42827) (xy -2.806088 0.416208) + (xy -2.775714 0.408385) (xy -2.75524 0.406797) (xy -2.724189 0.39972) (xy -2.710974 0.386953) (xy -2.696143 0.371353) + (xy -2.685321 0.367109) (xy -2.669197 0.360312) (xy -2.654102 0.347266) (xy -2.636144 0.332351) + (xy -2.62311 0.327422) (xy -2.614555 0.320394) (xy -2.615632 0.312944) (xy -2.61145 0.297431) (xy -2.589664 0.279261) + (xy -2.588035 0.278255) (xy -2.558845 0.262359) (xy -2.543844 0.259201) (xy -2.542112 0.26865) (xy -2.543216 0.271882) + (xy -2.544288 0.288759) (xy -2.533869 0.292589) (xy -2.52466 0.287355) (xy -2.504999 0.281948) (xy -2.483293 0.290055) + (xy -2.466402 0.307457) (xy -2.460955 0.326534) (xy -2.466939 0.351033) (xy -2.481038 0.375525) + (xy -2.498324 0.392847) (xy -2.509344 0.396875) (xy -2.519686 0.403909) (xy -2.520156 0.406797) + (xy -2.511719 0.414443) (xy -2.497005 0.416719) (xy -2.472678 0.420363) (xy -2.466696 0.431452) + (xy -2.477515 0.448702) (xy -2.490524 0.461062) (xy -2.499998 0.458842) (xy -2.511103 0.445333) + (xy -2.527757 0.423253) (xy -2.534517 0.454027) (xy -2.543679 0.479646) (xy -2.555521 0.496623) + (xy -2.567633 0.516033) (xy -2.569766 0.528136) (xy -2.57452 0.542469) (xy -2.584648 0.542115) (xy -2.596885 0.543728) + (xy -2.599531 0.555936) (xy -2.605809 0.571851) (xy -2.614414 0.575469) (xy -2.628293 0.583514) + (xy -2.630537 0.590352) (xy -2.63353 0.61299) (xy -2.640627 0.627083) (xy -2.6512 0.638476) (xy -2.662285 0.658031) + (xy -2.66199 0.671448) (xy -2.663526 0.690374) (xy -2.669989 0.698446) (xy -2.697779 0.730902) (xy -2.715022 0.773232) + (xy -2.718594 0.802108) (xy -2.72197 0.830117) (xy -2.730319 0.85014) (xy -2.732605 0.852558) (xy -2.742979 0.868571) + (xy -2.753674 0.896288) (xy -2.758399 0.913304) (xy -2.76669 0.941221) (xy -2.775037 0.959015) (xy -2.779115 0.962422) + (xy -2.785415 0.971055) (xy -2.787717 0.992511) (xy -2.78576 1.020125) (xy -2.782072 1.038252) (xy -2.767677 1.05884) + (xy -2.740259 1.066065) (xy -2.70154 1.059844) (xy -2.66134 1.04407) (xy -2.629189 1.02704) (xy -2.603413 1.010306) + (xy -2.591527 0.999629) (xy -2.573285 0.985423) (xy -2.560675 0.982266) (xy -2.543536 0.975516) + (xy -2.520489 0.958402) (xy -2.509147 0.947645) (xy -2.507256 0.945885) (xy -2.338255 0.945885) + (xy -2.336893 0.951784) (xy -2.331641 0.9525) (xy -2.323474 0.94887) (xy -2.325026 0.945885) (xy -2.336801 0.944698) + (xy -2.338255 0.945885) (xy -2.507256 0.945885) (xy -2.487318 0.927331) (xy -2.469848 0.914822) + (xy -2.464458 0.912918) (xy -2.450338 0.906425) (xy -2.431067 0.890806) (xy -2.429732 0.889508) + (xy -2.404723 0.870837) (xy -2.379745 0.859798) (xy -2.354238 0.850151) (xy -2.325183 0.834334) + (xy -2.298128 0.816055) (xy -2.278623 0.799021) (xy -2.272109 0.787898) (xy -2.264531 0.776718) + (xy -2.244437 0.758071) (xy -2.21579 0.735544) (xy -2.208276 0.730097) (xy -2.197095 0.721419) (xy -2.154003 0.721419) + (xy -2.149128 0.721109) (xy -2.127278 0.715255) (xy -2.118584 0.714375) (xy -2.108292 0.706121) + (xy -2.098934 0.68763) (xy -2.094256 0.668308) (xy -2.096371 0.658603) (xy -2.104556 0.663015) (xy -2.121279 0.678489) + (xy -2.133435 0.691312) (xy -2.15029 0.711823) (xy -2.154003 0.721419) (xy -2.197095 0.721419) (xy -2.176993 0.705817) + (xy -2.152305 0.68302) (xy -2.138783 0.666011) (xy -2.137867 0.663829) (xy -2.124719 0.646301) (xy -2.122178 0.64461) + (xy -2.083594 0.64461) (xy -2.076321 0.65007) (xy -2.068711 0.64851) (xy -2.055656 0.641467) (xy -2.053828 0.638899) + (xy -2.06193 0.635431) (xy -2.068711 0.635) (xy -2.081917 0.640192) (xy -2.083594 0.64461) (xy -2.122178 0.64461) + (xy -2.101172 0.630629) (xy -2.09752 0.629) (xy -2.075369 0.616105) (xy -2.064107 0.60243) (xy -2.06375 0.60014) + (xy -2.055489 0.588169) (xy -2.043906 0.585391) (xy -2.027199 0.587342) (xy -2.027154 0.595423) + (xy -2.039654 0.609487) (xy -2.049681 0.621372) (xy -2.044812 0.624947) (xy -2.040442 0.625078) + (xy -2.027892 0.61633) (xy -2.019079 0.59521) (xy -2.009205 0.568229) (xy -1.996838 0.549662) (xy -1.98669 0.536222) + (xy -1.99202 0.526965) (xy -1.995168 0.52477) (xy -2.002905 0.515857) (xy -1.994709 0.505347) (xy -1.989336 0.501322) + (xy -1.960107 0.477626) (xy -1.942983 0.457908) (xy -1.940407 0.445384) (xy -1.939694 0.442996) + (xy -1.839409 0.442996) (xy -1.838876 0.44977) (xy -1.827356 0.455693) (xy -1.816647 0.44582) (xy -1.809195 0.431524) + (xy -1.803232 0.413731) (xy -1.805177 0.406797) (xy -1.817752 0.413355) (xy -1.831151 0.427957) + (xy -1.839409 0.442996) (xy -1.939694 0.442996) (xy -1.93718 0.434575) (xy -1.926219 0.425197) (xy -1.913077 0.410832) + (xy -1.912012 0.400068) (xy -1.910837 0.39026) (xy -1.78263 0.39026) (xy -1.781268 0.396159) (xy -1.776016 0.396875) + (xy -1.767849 0.393245) (xy -1.769401 0.39026) (xy -1.781176 0.389073) (xy -1.78263 0.39026) (xy -1.910837 0.39026) + (xy -1.910619 0.388441) (xy -1.906179 0.386953) (xy -1.898026 0.37817) (xy -1.890703 0.35618) (xy -1.88886 0.3466) + (xy -1.882041 0.317091) (xy -1.870633 0.296808) (xy -1.851679 0.284717) (xy -1.822218 0.27979) (xy -1.779292 0.280996) + (xy -1.719942 0.287304) (xy -1.718965 0.287425) (xy -1.717284 0.296354) (xy -1.716493 0.31772) (xy -1.716484 0.320477) + (xy -1.713091 0.346748) (xy -1.704901 0.364794) (xy -1.704633 0.36507) (xy -1.699421 0.377003) (xy -1.709259 0.392053) + (xy -1.714555 0.397207) (xy -1.730272 0.41611) (xy -1.736328 0.431251) (xy -1.742914 0.444735) (xy -1.760223 0.467151) + (xy -1.784587 0.493774) (xy -1.785937 0.495144) (xy -1.810442 0.52108) (xy -1.828172 0.542079) (xy -1.835515 0.553845) + (xy -1.835547 0.554198) (xy -1.842349 0.567281) (xy -1.850669 0.575668) (xy -1.860854 0.588006) + (xy -1.860746 0.593265) (xy -1.863338 0.602206) (xy -1.87193 0.61018) (xy -1.88203 0.6207) (xy -1.881639 0.634781) + (xy -1.874496 0.65292) (xy -1.851905 0.680489) (xy -1.813928 0.699936) (xy -1.763723 0.710203) (xy -1.704447 0.710231) + (xy -1.703623 0.710159) (xy -1.670111 0.705864) (xy -1.64453 0.70018) (xy -1.63409 0.695481) (xy -1.617506 0.689937) + (xy -1.611834 0.691124) (xy -1.59796 0.687242) (xy -1.582455 0.670986) (xy -1.582137 0.670505) (xy -1.565821 0.650107) + (xy -1.551728 0.646892) (xy -1.534415 0.659741) (xy -1.533638 0.660513) (xy -1.521531 0.669803) + (xy -1.518012 0.663293) (xy -1.51801 0.662994) (xy -1.509564 0.651947) (xy -1.488078 0.638381) (xy -1.472543 0.631198) + (xy -1.44502 0.617713) (xy -1.426147 0.604445) (xy -1.421909 0.598952) (xy -1.408624 0.589355) (xy -1.381746 0.585407) + (xy -1.379672 0.585391) (xy -1.352589 0.582492) (xy -1.337248 0.571196) (xy -1.331302 0.56051) (xy -1.315063 0.54031) + (xy -1.289155 0.521673) (xy -1.282581 0.518342) (xy -1.25704 0.504535) (xy -1.239638 0.491518) (xy -1.237261 0.488652) + (xy -1.221331 0.477739) (xy -1.212328 0.47625) (xy -1.195416 0.468103) (xy -1.185536 0.454513) (xy -1.17089 0.436914) + (xy -1.145639 0.418359) (xy -1.134423 0.412171) (xy -1.101534 0.393526) (xy -1.07422 0.374027) (xy -1.056961 0.357185) + (xy -1.053288 0.348565) (xy -1.050662 0.326533) (xy -1.033997 0.317871) (xy -1.026914 0.3175) (xy -1.007511 0.312693) + (xy -1.002109 0.303666) (xy -0.9958 0.288012) (xy -0.980118 0.266285) (xy -0.974824 0.26029) (xy -0.936963 0.215612) + (xy -0.912961 0.177597) (xy -0.900165 0.141906) (xy -0.899029 0.136387) (xy -0.889652 0.106896) + (xy -0.875895 0.083812) (xy -0.873985 0.081816) (xy -0.860467 0.060854) (xy -0.850122 0.031178) + (xy -0.848798 0.024805) (xy -0.841133 -0.004453) (xy -0.831161 -0.026697) (xy -0.828912 -0.029766) + (xy -0.818756 -0.047293) (xy -0.806463 -0.076223) (xy -0.798953 -0.097446) (xy -0.790872 -0.118001) + (xy -0.585391 -0.118001) (xy -0.579956 -0.109402) (xy -0.569067 -0.113347) (xy -0.562153 -0.123518) + (xy -0.562593 -0.133586) (xy -0.571013 -0.132378) (xy -0.583825 -0.12261) (xy -0.585391 -0.118001) + (xy -0.790872 -0.118001) (xy -0.787135 -0.127506) (xy -0.774915 -0.148974) (xy -0.767913 -0.155558) + (xy -0.755489 -0.168889) (xy -0.754062 -0.176186) (xy -0.752261 -0.183555) (xy -0.535781 -0.183555) + (xy -0.53082 -0.178594) (xy -0.525859 -0.183555) (xy -0.53082 -0.188516) (xy -0.535781 -0.183555) + (xy -0.752261 -0.183555) (xy -0.749601 -0.194434) (xy -0.737145 -0.226884) (xy -0.718082 -0.270253) + (xy -0.716845 -0.272852) (xy -0.684609 -0.272852) (xy -0.679648 -0.267891) (xy -0.674687 -0.272852) + (xy -0.565547 -0.272852) (xy -0.560586 -0.267891) (xy -0.555625 -0.272852) (xy -0.560586 -0.277812) + (xy -0.565547 -0.272852) (xy -0.674687 -0.272852) (xy -0.679648 -0.277812) (xy -0.684609 -0.272852) + (xy -0.716845 -0.272852) (xy -0.693804 -0.321258) (xy -0.693207 -0.322461) (xy -0.545703 -0.322461) + (xy -0.540346 -0.309257) (xy -0.535781 -0.307578) (xy -0.526979 -0.315614) (xy -0.525859 -0.322461) + (xy -0.531217 -0.335665) (xy -0.535781 -0.337344) (xy -0.544584 -0.329307) (xy -0.545703 -0.322461) + (xy -0.693207 -0.322461) (xy -0.685819 -0.337344) (xy -0.677225 -0.357187) (xy -0.53082 -0.357187) + (xy -0.530034 -0.348045) (xy -0.526445 -0.347266) (xy -0.516341 -0.354468) (xy -0.515937 -0.357187) + (xy -0.519323 -0.366851) (xy -0.520313 -0.367109) (xy -0.528784 -0.360157) (xy -0.53082 -0.357187) + (xy -0.677225 -0.357187) (xy -0.671754 -0.369821) (xy -0.6634 -0.393345) (xy -0.533602 -0.393345) + (xy -0.52637 -0.387478) (xy -0.510298 -0.387367) (xy -0.491993 -0.399816) (xy -0.477025 -0.419139) + (xy -0.470963 -0.439653) (xy -0.471353 -0.443627) (xy -0.47483 -0.461239) (xy -0.475929 -0.466328) + (xy -0.480708 -0.461661) (xy -0.491344 -0.444082) (xy -0.496769 -0.434082) (xy -0.511561 -0.411011) + (xy -0.525045 -0.39787) (xy -0.528577 -0.396723) (xy -0.533602 -0.393345) (xy -0.6634 -0.393345) + (xy -0.658407 -0.407406) (xy -0.65559 -0.416719) (xy -0.640904 -0.464931) (xy -0.634104 -0.485044) + (xy -0.490436 -0.485044) (xy -0.478951 -0.476894) (xy -0.460286 -0.483584) (xy -0.456694 -0.485784) + (xy -0.44647 -0.496365) (xy -0.452929 -0.501813) (xy -0.473104 -0.499786) (xy -0.474091 -0.499533) + (xy -0.488887 -0.491946) (xy -0.490436 -0.485044) (xy -0.634104 -0.485044) (xy -0.625822 -0.509541) + (xy -0.611849 -0.546526) (xy -0.603141 -0.565952) (xy -0.444322 -0.565952) (xy -0.444311 -0.565547) + (xy -0.440232 -0.543439) (xy -0.427753 -0.53593) (xy -0.424462 -0.535781) (xy -0.410567 -0.540675) + (xy -0.411009 -0.553267) (xy -0.407568 -0.572258) (xy -0.386037 -0.596146) (xy -0.382644 -0.59903) + (xy -0.361222 -0.619702) (xy -0.354177 -0.63598) (xy -0.35634 -0.64788) (xy -0.35713 -0.6688) (xy -0.344615 -0.696694) + (xy -0.336923 -0.708777) (xy -0.335699 -0.711068) (xy -0.304271 -0.711068) (xy -0.302909 -0.705169) + (xy -0.297656 -0.704453) (xy -0.289489 -0.708083) (xy -0.291042 -0.711068) (xy -0.302817 -0.712255) + (xy -0.304271 -0.711068) (xy -0.335699 -0.711068) (xy -0.318519 -0.743218) (xy -0.305235 -0.780498) + (xy -0.302904 -0.79127) (xy -0.296234 -0.816979) (xy -0.287701 -0.831913) (xy -0.28438 -0.833437) + (xy -0.275639 -0.842149) (xy -0.264209 -0.864882) (xy -0.253618 -0.893619) (xy -0.240807 -0.926703) + (xy -0.226814 -0.952262) (xy -0.216413 -0.963422) (xy -0.201592 -0.977556) (xy -0.198437 -0.98727) + (xy -0.194037 -1.003723) (xy -0.18319 -1.029785) (xy -0.169431 -1.058099) (xy -0.156294 -1.081309) + (xy -0.148418 -1.091406) (xy -0.142874 -1.103559) (xy -0.137152 -1.127535) (xy -0.135904 -1.134805) + (xy -0.127577 -1.165083) (xy -0.115041 -1.18935) (xy -0.112933 -1.191916) (xy -0.102256 -1.20788) + (xy -0.101868 -1.216426) (xy -0.100693 -1.227558) (xy -0.091438 -1.249935) (xy -0.088733 -1.255117) + (xy -0.059531 -1.255117) (xy -0.05457 -1.250156) (xy -0.049609 -1.255117) (xy -0.05457 -1.260078) + (xy -0.059531 -1.255117) (xy -0.088733 -1.255117) (xy -0.081769 -1.268455) (xy -0.067199 -1.298973) + (xy -0.058615 -1.325924) (xy -0.057546 -1.336659) (xy -0.051754 -1.358615) (xy -0.034873 -1.38296) + (xy -0.032391 -1.385535) (xy -0.016362 -1.405138) (xy -0.016027 -1.41565) (xy -0.017645 -1.416442) + (xy -0.028392 -1.428854) (xy -0.026924 -1.451013) (xy -0.014701 -1.475607) (xy -0.004002 -1.494454) + (xy -0.001394 -1.5051) (xy 0.002326 -1.526388) (xy 0.017747 -1.543361) (xy 0.031903 -1.547812) (xy 0.045945 -1.551519) + (xy 0.04701 -1.566241) (xy 0.045796 -1.571578) (xy 0.045584 -1.592799) (xy 0.051398 -1.6198) (xy 0.06087 -1.645587) + (xy 0.071629 -1.663164) (xy 0.078084 -1.666875) (xy 0.084232 -1.675448) (xy 0.086684 -1.69416) (xy 0.088409 -1.726966) + (xy 0.092403 -1.762554) (xy 0.097648 -1.793829) (xy 0.103128 -1.813694) (xy 0.104088 -1.815554) + (xy 0.102053 -1.824277) (xy 0.095512 -1.825625) (xy 0.085 -1.822458) (xy 0.077086 -1.81038) (xy 0.070064 -1.785521) + (xy 0.063983 -1.754045) (xy 0.056219 -1.726758) (xy 0.04563 -1.707625) (xy 0.043873 -1.705919) (xy 0.032867 -1.688917) + (xy 0.024322 -1.66267) (xy 0.023814 -1.660125) (xy 0.016269 -1.635775) (xy 0.006312 -1.621555) (xy 0.004951 -1.62085) + (xy -0.008644 -1.607561) (xy -0.02239 -1.582388) (xy -0.033139 -1.553017) (xy -0.037745 -1.527131) + (xy -0.036944 -1.518548) (xy -0.036345 -1.499888) (xy -0.040619 -1.492667) (xy -0.047552 -1.479582) + (xy -0.050307 -1.460411) (xy -0.051506 -1.443121) (xy -0.054966 -1.444192) (xy -0.059136 -1.453555) + (xy -0.065448 -1.465664) (xy -0.071088 -1.462095) (xy -0.078282 -1.445467) (xy -0.086394 -1.419) + (xy -0.089297 -1.399414) (xy -0.098266 -1.382536) (xy -0.114102 -1.375066) (xy -0.129196 -1.369044) + (xy -0.136528 -1.35703) (xy -0.138804 -1.333138) (xy -0.138906 -1.321097) (xy -0.140533 -1.29041) + (xy -0.147188 -1.272703) (xy -0.161536 -1.261254) (xy -0.162919 -1.260502) (xy -0.179294 -1.246417) + (xy -0.181701 -1.234021) (xy -0.180913 -1.213807) (xy -0.184037 -1.203027) (xy -0.190495 -1.180428) + (xy -0.194323 -1.155509) (xy -0.202212 -1.109231) (xy -0.216013 -1.081439) (xy -0.236004 -1.071594) + (xy -0.237416 -1.071562) (xy -0.247115 -1.063657) (xy -0.248047 -1.058204) (xy -0.252518 -1.039573) + (xy -0.264297 -1.009621) (xy -0.280936 -0.974309) (xy -0.288373 -0.960076) (xy -0.296359 -0.93787) + (xy -0.297656 -0.92783) (xy -0.302972 -0.91454) (xy -0.307578 -0.912812) (xy -0.316596 -0.904874) + (xy -0.3175 -0.899222) (xy -0.321479 -0.874119) (xy -0.331709 -0.839762) (xy -0.345631 -0.802758) + (xy -0.360682 -0.769713) (xy -0.374304 -0.747233) (xy -0.377892 -0.743394) (xy -0.392837 -0.721638) + (xy -0.406804 -0.68535) (xy -0.413418 -0.660384) (xy -0.422038 -0.627652) (xy -0.430396 -0.604282) + (xy -0.436687 -0.595313) (xy -0.436709 -0.595312) (xy -0.442393 -0.586702) (xy -0.444322 -0.565952) + (xy -0.603141 -0.565952) (xy -0.600492 -0.571861) (xy -0.594024 -0.581226) (xy -0.587229 -0.594282) + (xy -0.585391 -0.609566) (xy -0.579313 -0.633126) (xy -0.570508 -0.644922) (xy -0.558529 -0.664258) + (xy -0.555625 -0.680278) (xy -0.551782 -0.700803) (xy -0.545703 -0.709414) (xy -0.537368 -0.723409) + (xy -0.535781 -0.734804) (xy -0.531513 -0.750606) (xy -0.525859 -0.754062) (xy -0.517063 -0.762101) + (xy -0.515937 -0.768981) (xy -0.51147 -0.786721) (xy -0.500329 -0.812678) (xy -0.496094 -0.820924) + (xy -0.483442 -0.849285) (xy -0.476629 -0.873815) (xy -0.47625 -0.878523) (xy -0.470343 -0.90285) + (xy -0.461683 -0.918358) (xy -0.449795 -0.943086) (xy -0.4468 -0.959941) (xy -0.442812 -0.977374) + (xy -0.436562 -0.982266) (xy -0.429104 -0.990757) (xy -0.426641 -1.00707) (xy -0.421631 -1.026786) + (xy -0.412265 -1.031875) (xy -0.400715 -1.041074) (xy -0.387815 -1.066357) (xy -0.380687 -1.086445) + (xy -0.369957 -1.115863) (xy -0.359942 -1.135676) (xy -0.354314 -1.141016) (xy -0.349011 -1.148211) + (xy -0.350401 -1.154719) (xy -0.350981 -1.174235) (xy -0.344324 -1.200802) (xy -0.33332 -1.226809) + (xy -0.320861 -1.244642) (xy -0.314934 -1.248126) (xy -0.302655 -1.25948) (xy -0.290584 -1.285142) + (xy -0.280748 -1.319659) (xy -0.275436 -1.354336) (xy -0.269964 -1.376452) (xy -0.254851 -1.385725) + (xy -0.245566 -1.387241) (xy -0.225747 -1.392435) (xy -0.218281 -1.399643) (xy -0.225797 -1.406649) + (xy -0.230684 -1.406426) (xy -0.240475 -1.413331) (xy -0.246129 -1.435364) (xy -0.246146 -1.435532) + (xy -0.244298 -1.462014) (xy -0.230591 -1.477075) (xy -0.229924 -1.477438) (xy -0.213186 -1.494416) + (xy -0.203753 -1.515205) (xy -0.19553 -1.537317) (xy -0.187069 -1.548706) (xy -0.177204 -1.562861) + (xy -0.174755 -1.571923) (xy -0.165791 -1.591214) (xy -0.159473 -1.596975) (xy -0.152383 -1.606215) + (xy -0.15787 -1.611761) (xy -0.161644 -1.623263) (xy -0.150215 -1.645065) (xy -0.149008 -1.646779) + (xy -0.135464 -1.668297) (xy -0.129062 -1.683385) (xy -0.128984 -1.684321) (xy -0.122786 -1.697224) + (xy -0.107533 -1.716803) (xy -0.10418 -1.720508) (xy -0.083616 -1.754502) (xy -0.07932 -1.779007) + (xy -0.076554 -1.812689) (xy -0.069329 -1.851648) (xy -0.059096 -1.891083) (xy -0.055811 -1.900866) + (xy 0.060315 -1.900866) (xy 0.062139 -1.887997) (xy 0.065526 -1.887843) (xy 0.067894 -1.901123) + (xy 0.066309 -1.90686) (xy 0.061903 -1.910619) (xy 0.060315 -1.900866) (xy -0.055811 -1.900866) + (xy -0.047307 -1.92619) (xy -0.04111 -1.939727) (xy 0.099219 -1.939727) (xy 0.10418 -1.934766) (xy 0.109141 -1.939727) + (xy 0.10418 -1.944687) (xy 0.099219 -1.939727) (xy -0.04111 -1.939727) (xy -0.035415 -1.952167) + (xy -0.024872 -1.964213) (xy -0.023217 -1.964531) (xy -0.015722 -1.969492) (xy 0.049609 -1.969492) + (xy 0.05457 -1.964531) (xy 0.059531 -1.969492) (xy 0.05457 -1.974453) (xy 0.049609 -1.969492) (xy -0.015722 -1.969492) + (xy -0.011269 -1.97244) (xy -0.009922 -1.978587) (xy -0.002417 -1.994539) (xy 0.00248 -1.997676) + (xy 0.009287 -2.004298) (xy 0.001209 -2.012276) (xy -0.007481 -2.02572) (xy 0.002047 -2.039143) + (xy 0.028258 -2.050619) (xy 0.032735 -2.051848) (xy 0.05619 -2.062526) (xy 0.064619 -2.076109) (xy 0.068824 -2.094559) + (xy 0.07206 -2.09943) (xy 0.077587 -2.114016) (xy 0.079375 -2.132872) (xy 0.085253 -2.161422) (xy 0.104354 -2.177474) + (xy 0.138879 -2.182796) (xy 0.141543 -2.182812) (xy 0.181821 -2.178267) (xy 0.208226 -2.165463) + (xy 0.218254 -2.145654) (xy 0.218281 -2.14443) (xy 0.221603 -2.125681) (xy 0.224936 -2.119934) (xy 0.22939 -2.105977) + (xy 0.232143 -2.078728) (xy 0.233226 -2.044175) (xy 0.232665 -2.00831) (xy 0.230489 -1.977122) (xy 0.226727 -1.956602) + (xy 0.224143 -1.952129) (xy 0.218921 -1.942634) (xy 0.224474 -1.937246) (xy 0.230629 -1.923682) + (xy 0.225803 -1.905) (xy 0.219666 -1.880539) (xy 0.215138 -1.846313) (xy 0.213929 -1.827882) (xy 0.210662 -1.795957) + (xy 0.204553 -1.771647) (xy 0.200198 -1.763885) (xy 0.190072 -1.749413) (xy 0.190158 -1.740349) + (xy 0.200124 -1.742331) (xy 0.207235 -1.743023) (xy 0.203892 -1.730514) (xy 0.19614 -1.70947) (xy 0.186026 -1.679284) + (xy 0.182307 -1.667595) (xy 0.170602 -1.636982) (xy 0.157604 -1.612491) (xy 0.15331 -1.606814) (xy 0.141654 -1.586014) + (xy 0.13277 -1.556725) (xy 0.131834 -1.551608) (xy 0.12451 -1.523235) (xy 0.114426 -1.502421) (xy 0.112415 -1.50006) + (xy 0.10109 -1.482724) (xy 0.099219 -1.474553) (xy 0.095125 -1.458908) (xy 0.084724 -1.433076) (xy 0.077821 -1.418211) + (xy 0.064903 -1.386117) (xy 0.057231 -1.356428) (xy 0.056324 -1.346895) (xy 0.052015 -1.326177) + (xy 0.042995 -1.319609) (xy 0.032041 -1.311395) (xy 0.029766 -1.300981) (xy 0.022559 -1.279058) + (xy 0.014883 -1.27) (xy 0.002904 -1.250664) (xy 0 -1.234644) (xy -0.004111 -1.213708) (xy -0.013474 -1.204515) + (xy -0.023636 -1.210289) (xy -0.026256 -1.215633) (xy -0.033177 -1.225956) (xy -0.040501 -1.219075) + (xy -0.042099 -1.201111) (xy -0.038259 -1.193864) (xy -0.034514 -1.177427) (xy -0.043787 -1.159078) + (xy -0.055676 -1.136532) (xy -0.059531 -1.120502) (xy -0.066425 -1.102091) (xy -0.079375 -1.086445) + (xy -0.094447 -1.066326) (xy -0.099219 -1.050046) (xy -0.099936 -1.038287) (xy -0.103371 -1.025437) + (xy -0.111446 -1.006498) (xy -0.126082 -0.976469) (xy -0.132267 -0.964098) (xy -0.143415 -0.93686) + (xy -0.14875 -0.914013) (xy -0.148828 -0.912008) (xy -0.152342 -0.896316) (xy -0.156898 -0.892969) + (xy -0.165401 -0.884672) (xy -0.176164 -0.864169) (xy -0.178428 -0.858665) (xy -0.199348 -0.826639) + (xy -0.222448 -0.809281) (xy -0.238618 -0.799473) (xy -0.240267 -0.794126) (xy -0.238125 -0.793824) + (xy -0.216791 -0.788324) (xy -0.208359 -0.783956) (xy -0.200051 -0.775353) (xy -0.206861 -0.765444) + (xy -0.215801 -0.758741) (xy -0.232438 -0.741871) (xy -0.238125 -0.727643) (xy -0.245372 -0.711105) + (xy -0.262808 -0.692854) (xy -0.262904 -0.692779) (xy -0.279384 -0.676354) (xy -0.280732 -0.661565) + (xy -0.277438 -0.654144) (xy -0.27408 -0.629568) (xy -0.283622 -0.602581) (xy -0.301292 -0.583476) + (xy -0.308696 -0.569648) (xy -0.312182 -0.545525) (xy -0.312209 -0.544004) (xy -0.316803 -0.518026) + (xy -0.333384 -0.498897) (xy -0.344403 -0.491348) (xy -0.369053 -0.469548) (xy -0.376649 -0.445219) + (xy -0.382499 -0.420574) (xy -0.392384 -0.406407) (xy -0.402079 -0.394569) (xy -0.394864 -0.3855) + (xy -0.388244 -0.378785) (xy -0.397818 -0.377183) (xy -0.418025 -0.368993) (xy -0.436834 -0.350229) + (xy -0.446362 -0.32907) (xy -0.446508 -0.326641) (xy -0.452605 -0.311041) (xy -0.467957 -0.288144) + (xy -0.476335 -0.277812) (xy -0.493781 -0.256624) (xy -0.499018 -0.245884) (xy -0.493106 -0.241286) + (xy -0.485654 -0.239839) (xy -0.471005 -0.230937) (xy -0.469184 -0.216796) (xy -0.479267 -0.206107) + (xy -0.489369 -0.204833) (xy -0.501753 -0.201214) (xy -0.500723 -0.187469) (xy -0.500224 -0.172288) + (xy -0.505347 -0.168672) (xy -0.514672 -0.160619) (xy -0.515937 -0.153402) (xy -0.522799 -0.13631) + (xy -0.540078 -0.114063) (xy -0.562819 -0.091361) (xy -0.586062 -0.0729) (xy -0.604852 -0.06338) + (xy -0.610913 -0.063395) (xy -0.629572 -0.062554) (xy -0.651076 -0.052876) (xy -0.676033 -0.036523) + (xy -0.650556 -0.012899) (xy -0.629314 0.011361) (xy -0.62506 0.027938) (xy -0.637517 0.035498) + (xy -0.660294 0.03398) (xy -0.683312 0.030581) (xy -0.691675 0.034452) (xy -0.690132 0.048722) (xy -0.68909 0.05295) + (xy -0.688636 0.076082) (xy -0.702855 0.09711) (xy -0.707174 0.101275) (xy -0.729926 0.133326) (xy -0.739891 0.171356) + (xy -0.736126 0.208483) (xy -0.724829 0.230234) (xy -0.708675 0.253757) (xy -0.707058 0.268573) + (xy -0.720365 0.279888) (xy -0.728846 0.28409) (xy -0.750575 0.290748) (xy -0.760056 0.286688) (xy -0.754386 0.273252) + (xy -0.753805 0.272542) (xy -0.748297 0.253747) (xy -0.750089 0.24409) (xy -0.758525 0.233396) (xy -0.770104 0.239087) + (xy -0.781988 0.254606) (xy -0.783828 0.261758) (xy -0.791383 0.275318) (xy -0.803672 0.285432) + (xy -0.820169 0.306734) (xy -0.823516 0.32692) (xy -0.826424 0.349688) (xy -0.832943 0.361843) (xy -0.842905 0.37412) + (xy -0.857513 0.398776) (xy -0.873787 0.429868) (xy -0.888748 0.461455) (xy -0.899418 0.487594) + (xy -0.902891 0.501351) (xy -0.909447 0.518939) (xy -0.921755 0.534802) (xy -0.937355 0.554832) + (xy -0.947258 0.575506) (xy -0.94928 0.590863) (xy -0.943915 0.595313) (xy -0.933291 0.587113) (xy -0.927695 0.575469) + (xy -0.918631 0.560122) (xy -0.908275 0.555603) (xy -0.902916 0.564426) (xy -0.902891 0.565547) + (xy -0.895406 0.573898) (xy -0.87928 0.574735) (xy -0.864007 0.568603) (xy -0.859645 0.563066) (xy -0.852522 0.557769) + (xy -0.843442 0.568027) (xy -0.825377 0.582478) (xy -0.812515 0.585391) (xy -0.799109 0.58929) (xy -0.798711 0.595313) + (xy -0.796462 0.603807) (xy -0.789375 0.605234) (xy -0.775848 0.61292) (xy -0.773906 0.620117) (xy -0.766264 0.633278) + (xy -0.759609 0.635) (xy -0.741824 0.642043) (xy -0.737773 0.658116) (xy -0.74305 0.668413) (xy -0.747722 0.684302) + (xy -0.741424 0.694023) (xy -0.7343 0.705734) (xy -0.74166 0.711481) (xy -0.753142 0.72332) (xy -0.749887 0.740053) + (xy -0.734891 0.753703) (xy -0.714918 0.771935) (xy -0.708006 0.794341) (xy -0.715769 0.814177) + (xy -0.71961 0.817528) (xy -0.729293 0.834144) (xy -0.736463 0.863832) (xy -0.740525 0.899913) (xy -0.740887 0.935707) + (xy -0.736952 0.964537) (xy -0.733509 0.973669) (xy -0.725698 0.996865) (xy -0.722241 1.018947) + (xy -0.711166 1.051986) (xy -0.686602 1.081319) (xy -0.654731 1.100954) (xy -0.632809 1.105685) + (xy -0.606739 1.108558) (xy -0.589108 1.113842) (xy -0.588911 1.113963) (xy -0.576337 1.112775) + (xy -0.571859 1.106232) (xy -0.558676 1.095002) (xy -0.540742 1.091406) (xy -0.519487 1.086212) + (xy -0.509604 1.076523) (xy -0.496348 1.065046) (xy -0.479657 1.061641) (xy -0.453453 1.057035) + (xy -0.426906 1.046758) (xy -0.238125 1.046758) (xy -0.233164 1.051719) (xy -0.228203 1.046758) + (xy -0.233164 1.041797) (xy -0.238125 1.046758) (xy -0.426906 1.046758) (xy -0.425857 1.046352) + (xy -0.403073 1.03752) (xy -0.387443 1.036614) (xy -0.386704 1.03699) (xy -0.376217 1.033621) (xy -0.366057 1.018645) + (xy -0.34929 0.998872) (xy -0.31893 0.988612) (xy -0.317488 0.988376) (xy -0.291741 0.980876) (xy -0.275675 0.969945) + (xy -0.274246 0.967439) (xy -0.260708 0.954893) (xy -0.249498 0.9525) (xy -0.232639 0.946459) (xy -0.206561 0.930606) + (xy -0.176848 0.908344) (xy -0.176249 0.907852) (xy -0.14792 0.885697) (xy -0.124785 0.86972) (xy -0.111642 0.86322) + (xy -0.111325 0.863203) (xy -0.096412 0.856806) (xy -0.084543 0.84711) (xy -0.071105 0.836616) (xy -0.060453 0.84151) + (xy -0.053555 0.84959) (xy -0.042844 0.861996) (xy -0.041668 0.857839) (xy -0.04457 0.846576) (xy -0.043873 0.824944) + (xy -0.036762 0.816811) (xy -0.020659 0.801934) (xy -0.011049 0.788789) (xy -0.002479 0.775469) + (xy -0.002188 0.780006) (xy -0.005156 0.79127) (xy -0.006127 0.808977) (xy -0.000423 0.813594) (xy 0.011155 0.80545) + (xy 0.019253 0.79127) (xy 0.031298 0.770224) (xy 0.05105 0.743881) (xy 0.059375 0.734219) (xy 0.076108 0.716624) + (xy 0.084604 0.709698) (xy 0.0845 0.711781) (xy 0.084896 0.719884) (xy 0.094038 0.718047) (xy 0.105097 0.705078) + (xy 0.104072 0.694191) (xy 0.104026 0.676365) (xy 0.108737 0.669976) (xy 0.118158 0.67058) (xy 0.119063 0.674451) + (xy 0.124353 0.68023) (xy 0.139729 0.674247) (xy 0.153846 0.664268) (xy 0.1512 0.653473) (xy 0.145192 0.646386) + (xy 0.135882 0.627536) (xy 0.137837 0.616886) (xy 0.153146 0.607637) (xy 0.172131 0.61157) (xy 0.179632 0.61837) + (xy 0.190165 0.618883) (xy 0.201248 0.610928) (xy 0.218177 0.59835) (xy 0.227494 0.595313) (xy 0.237602 0.590196) + (xy 0.234064 0.579506) (xy 0.221583 0.571244) (xy 0.210937 0.563141) (xy 0.211893 0.561489) (xy 0.228203 0.561489) + (xy 0.235714 0.561588) (xy 0.243086 0.559213) (xy 0.256231 0.548804) (xy 0.257969 0.543427) (xy 0.252069 0.540141) + (xy 0.243086 0.545703) (xy 0.230764 0.557444) (xy 0.228203 0.561489) (xy 0.211893 0.561489) (xy 0.216622 0.553315) + (xy 0.226925 0.537609) (xy 0.228203 0.531813) (xy 0.222876 0.528851) (xy 0.216297 0.533797) (xy 0.199401 0.54494) + (xy 0.189761 0.539341) (xy 0.188516 0.53082) (xy 0.194343 0.517624) (xy 0.199328 0.515938) (xy 0.216698 0.507091) + (xy 0.234053 0.484246) (xy 0.247915 0.452942) (xy 0.251881 0.436563) (xy 0.367109 0.436563) (xy 0.37074 0.444729) + (xy 0.373724 0.443177) (xy 0.374911 0.431402) (xy 0.373724 0.429948) (xy 0.367825 0.43131) (xy 0.367109 0.436563) + (xy 0.251881 0.436563) (xy 0.253606 0.429441) (xy 0.259829 0.404435) (xy 0.367109 0.404435) (xy 0.369328 0.413521) + (xy 0.378807 0.408891) (xy 0.387014 0.401781) (xy 0.400402 0.387479) (xy 0.403317 0.380166) (xy 0.391051 0.379274) + (xy 0.376105 0.388574) (xy 0.367345 0.40212) (xy 0.367109 0.404435) (xy 0.259829 0.404435) (xy 0.260784 0.400596) + (xy 0.270746 0.379667) (xy 0.27393 0.376136) (xy 0.28586 0.360644) (xy 0.287734 0.35346) (xy 0.29449 0.338962) + (xy 0.31083 0.320629) (xy 0.330868 0.303632) (xy 0.348717 0.293144) (xy 0.357024 0.292594) (xy 0.364589 0.28927) + (xy 0.367109 0.273786) (xy 0.375049 0.248867) (xy 0.386953 0.238125) (xy 0.402718 0.224693) (xy 0.406797 0.214842) + (xy 0.412999 0.198389) (xy 0.424321 0.182945) (xy 0.437336 0.164567) (xy 0.454926 0.134508) (xy 0.463722 0.117644) + (xy 0.933008 0.117644) (xy 0.933359 0.143867) (xy 0.947539 0.119063) (xy 0.95797 0.098757) (xy 0.96207 0.087128) + (xy 0.95503 0.083805) (xy 0.947539 0.085709) (xy 0.936522 0.098854) (xy 0.933008 0.117644) (xy 0.463722 0.117644) + (xy 0.473383 0.09912) (xy 0.474187 0.097479) (xy 0.491451 0.064724) (xy 0.50702 0.039694) (xy 0.517826 0.027263) + (xy 0.518675 0.026842) (xy 0.52583 0.01941) (xy 0.519565 0.003329) (xy 0.519002 0.002366) (xy 0.512377 -0.015738) + (xy 0.514041 -0.024562) (xy 0.521602 -0.037188) (xy 0.521755 -0.038034) (xy 0.525731 -0.052253) + (xy 0.52837 -0.059531) (xy 0.533284 -0.078272) (xy 0.538637 -0.107216) (xy 0.540233 -0.11784) (xy 0.547386 -0.149977) + (xy 0.557711 -0.176726) (xy 0.560904 -0.182059) (xy 0.572489 -0.208066) (xy 0.575469 -0.226779) + (xy 0.578457 -0.253071) (xy 0.586147 -0.289672) (xy 0.596624 -0.328181) (xy 0.602928 -0.347266) + (xy 0.608687 -0.366673) (xy 0.609778 -0.37207) (xy 0.614477 -0.38772) (xy 0.623139 -0.409923) (xy 0.631723 -0.434391) + (xy 0.632139 -0.436562) (xy 0.79375 -0.436562) (xy 0.797135 -0.426899) (xy 0.798125 -0.426641) (xy 0.806596 -0.433593) + (xy 0.808633 -0.436562) (xy 0.807846 -0.445705) (xy 0.804257 -0.446484) (xy 0.794154 -0.439282) + (xy 0.79375 -0.436562) (xy 0.632139 -0.436562) (xy 0.635 -0.451506) (xy 0.641317 -0.468123) (xy 0.644922 -0.471289) + (xy 0.65338 -0.485342) (xy 0.654844 -0.495852) (xy 0.660044 -0.513139) (xy 0.666026 -0.518011) (xy 0.667712 -0.520417) + (xy 0.817719 -0.520417) (xy 0.818625 -0.515824) (xy 0.829237 -0.507599) (xy 0.840609 -0.506219) + (xy 0.843359 -0.509915) (xy 0.835577 -0.516287) (xy 0.827961 -0.519723) (xy 0.817719 -0.520417) + (xy 0.667712 -0.520417) (xy 0.674939 -0.53073) (xy 0.682374 -0.555878) (xy 0.835225 -0.555878) (xy 0.841008 -0.549424) + (xy 0.842588 -0.550187) (xy 0.852441 -0.565034) (xy 0.853281 -0.571093) (xy 0.861243 -0.583717) + (xy 0.868248 -0.585391) (xy 0.877834 -0.588147) (xy 0.874084 -0.599817) (xy 0.870806 -0.60526) (xy 0.856345 -0.620713) + (xy 0.844942 -0.616949) (xy 0.837312 -0.594456) (xy 0.835555 -0.581157) (xy 0.835225 -0.555878) + (xy 0.682374 -0.555878) (xy 0.684461 -0.562937) (xy 0.694505 -0.61429) (xy 0.698542 -0.640182) (xy 0.864151 -0.640182) + (xy 0.867723 -0.635454) (xy 0.873125 -0.635) (xy 0.882759 -0.64255) (xy 0.883047 -0.644922) (xy 0.891437 -0.652727) + (xy 0.904875 -0.654844) (xy 0.91936 -0.657004) (xy 0.916366 -0.665125) (xy 0.914797 -0.66675) (xy 0.903324 -0.686291) + (xy 0.91024 -0.700402) (xy 0.930176 -0.705604) (xy 0.945184 -0.707535) (xy 0.941459 -0.710917) (xy 0.940098 -0.711293) + (xy 0.926149 -0.719496) (xy 0.923093 -0.72955) (xy 0.932656 -0.734219) (xy 0.939654 -0.742832) (xy 0.942578 -0.763552) + (xy 0.942578 -0.763635) (xy 0.949803 -0.79209) (xy 0.962422 -0.803672) (xy 0.978273 -0.816862) (xy 0.982486 -0.826345) + (xy 0.988284 -0.842361) (xy 1.001013 -0.862208) (xy 1.012871 -0.884487) (xy 1.014985 -0.902589) + (xy 1.018242 -0.921965) (xy 1.026224 -0.932084) (xy 1.03918 -0.948426) (xy 1.041797 -0.957519) (xy 1.050122 -0.969728) + (xy 1.062389 -0.975414) (xy 1.078554 -0.987002) (xy 1.080041 -0.998896) (xy 1.081274 -1.00707) (xy 1.150938 -1.00707) + (xy 1.155898 -1.002109) (xy 1.160859 -1.00707) (xy 1.155898 -1.012031) (xy 1.150938 -1.00707) (xy 1.081274 -1.00707) + (xy 1.082722 -1.016671) (xy 1.092288 -1.040758) (xy 1.105064 -1.063978) (xy 1.107193 -1.066602) + (xy 1.170781 -1.066602) (xy 1.175742 -1.061641) (xy 1.180703 -1.066602) (xy 1.175742 -1.071562) + (xy 1.170781 -1.066602) (xy 1.107193 -1.066602) (xy 1.117376 -1.079154) (xy 1.122321 -1.081484) + (xy 1.128297 -1.090227) (xy 1.136118 -1.112577) (xy 1.140754 -1.130005) (xy 1.151656 -1.16325) (xy 1.160448 -1.180703) + (xy 1.245195 -1.180703) (xy 1.245982 -1.17156) (xy 1.249571 -1.170781) (xy 1.259674 -1.177984) (xy 1.260078 -1.180703) + (xy 1.256693 -1.190367) (xy 1.255703 -1.190625) (xy 1.247232 -1.183672) (xy 1.245195 -1.180703) + (xy 1.160448 -1.180703) (xy 1.165778 -1.191283) (xy 1.171543 -1.198935) (xy 1.260157 -1.198935) + (xy 1.266246 -1.206307) (xy 1.279727 -1.231889) (xy 1.282595 -1.237754) (xy 1.290764 -1.258621) + (xy 1.291213 -1.269536) (xy 1.290054 -1.27) (xy 1.281581 -1.261646) (xy 1.271878 -1.241279) (xy 1.270971 -1.238754) + (xy 1.261664 -1.209757) (xy 1.260157 -1.198935) (xy 1.171543 -1.198935) (xy 1.172113 -1.199691) + (xy 1.189662 -1.223779) (xy 1.205438 -1.253458) (xy 1.206245 -1.25535) (xy 1.218212 -1.277711) (xy 1.229573 -1.289365) + (xy 1.231587 -1.289844) (xy 1.235139 -1.296656) (xy 1.23362 -1.299766) (xy 1.304727 -1.299766) (xy 1.305513 -1.290623) + (xy 1.309102 -1.289844) (xy 1.319206 -1.297046) (xy 1.319609 -1.299766) (xy 1.316224 -1.30943) (xy 1.315234 -1.309687) + (xy 1.306763 -1.302735) (xy 1.304727 -1.299766) (xy 1.23362 -1.299766) (xy 1.226603 -1.314131) (xy 1.2179 -1.332919) + (xy 1.219373 -1.343785) (xy 1.228337 -1.340225) (xy 1.233217 -1.32942) (xy 1.240968 -1.311889) (xy 1.248531 -1.312207) + (xy 1.25361 -1.328488) (xy 1.254491 -1.346897) (xy 1.255637 -1.381788) (xy 1.333254 -1.381788) (xy 1.337653 -1.379141) + (xy 1.352195 -1.386443) (xy 1.354744 -1.389723) (xy 1.358458 -1.402639) (xy 1.350758 -1.403699) + (xy 1.339652 -1.394263) (xy 1.333254 -1.381788) (xy 1.255637 -1.381788) (xy 1.255655 -1.382329) + (xy 1.261499 -1.40175) (xy 1.273299 -1.4087) (xy 1.276849 -1.408906) (xy 1.287522 -1.415314) (xy 1.287358 -1.421309) + (xy 1.288867 -1.436618) (xy 1.290862 -1.443047) (xy 1.369219 -1.443047) (xy 1.374029 -1.432778) + (xy 1.379141 -1.433711) (xy 1.388684 -1.446396) (xy 1.389063 -1.449179) (xy 1.381495 -1.458248) + (xy 1.379141 -1.458516) (xy 1.370433 -1.45044) (xy 1.369219 -1.443047) (xy 1.290862 -1.443047) (xy 1.297095 -1.463135) + (xy 1.299498 -1.469084) (xy 1.381573 -1.469084) (xy 1.38293 -1.468437) (xy 1.391985 -1.475422) (xy 1.394023 -1.478359) + (xy 1.396552 -1.487635) (xy 1.395195 -1.488281) (xy 1.38614 -1.481296) (xy 1.384102 -1.478359) (xy 1.381573 -1.469084) + (xy 1.299498 -1.469084) (xy 1.30625 -1.485801) (xy 1.317833 -1.513719) (xy 1.324218 -1.532624) (xy 1.324426 -1.537891) + (xy 1.325571 -1.545587) (xy 1.328786 -1.552773) (xy 1.418828 -1.552773) (xy 1.423789 -1.547812) + (xy 1.42875 -1.552773) (xy 1.423789 -1.557734) (xy 1.418828 -1.552773) (xy 1.328786 -1.552773) (xy 1.334218 -1.564917) + (xy 1.339036 -1.574208) (xy 1.343115 -1.583279) (xy 1.370651 -1.583279) (xy 1.372991 -1.578102) + (xy 1.378555 -1.577578) (xy 1.392009 -1.584784) (xy 1.393953 -1.587386) (xy 1.392356 -1.593025) + (xy 1.384617 -1.591286) (xy 1.370651 -1.583279) (xy 1.343115 -1.583279) (xy 1.350043 -1.598685) + (xy 1.352519 -1.609451) (xy 1.4448 -1.609451) (xy 1.448905 -1.607344) (xy 1.457433 -1.615385) (xy 1.458516 -1.622227) + (xy 1.456393 -1.635464) (xy 1.454616 -1.637109) (xy 1.448219 -1.629351) (xy 1.445006 -1.622227) + (xy 1.4448 -1.609451) (xy 1.352519 -1.609451) (xy 1.354014 -1.615949) (xy 1.353353 -1.618857) (xy 1.356527 -1.626022) + (xy 1.363672 -1.627187) (xy 1.377743 -1.631129) (xy 1.379957 -1.634629) (xy 1.386978 -1.671836) + (xy 1.458516 -1.671836) (xy 1.463477 -1.666875) (xy 1.468438 -1.671836) (xy 1.463477 -1.676797) + (xy 1.458516 -1.671836) (xy 1.386978 -1.671836) (xy 1.389266 -1.683961) (xy 1.391669 -1.691094) + (xy 1.478359 -1.691094) (xy 1.483169 -1.680824) (xy 1.488281 -1.681758) (xy 1.497825 -1.694443) + (xy 1.498203 -1.697226) (xy 1.490635 -1.706295) (xy 1.488281 -1.706562) (xy 1.479574 -1.698486) + (xy 1.478359 -1.691094) (xy 1.391669 -1.691094) (xy 1.407646 -1.738513) (xy 1.413669 -1.751796) + (xy 1.508125 -1.751796) (xy 1.511395 -1.737461) (xy 1.519986 -1.741758) (xy 1.522584 -1.745564) + (xy 1.52134 -1.758307) (xy 1.518209 -1.761033) (xy 1.50958 -1.758877) (xy 1.508125 -1.751796) (xy 1.413669 -1.751796) + (xy 1.43301 -1.794449) (xy 1.514153 -1.794449) (xy 1.514164 -1.784193) (xy 1.523281 -1.780918) (xy 1.52867 -1.787071) + (xy 1.533858 -1.800718) (xy 1.53305 -1.804007) (xy 1.522858 -1.804305) (xy 1.514153 -1.794449) (xy 1.43301 -1.794449) + (xy 1.43658 -1.802322) (xy 1.454471 -1.837078) (xy 1.46477 -1.862563) (xy 1.468438 -1.881999) (xy 1.473992 -1.904518) + (xy 1.48297 -1.921333) (xy 1.491554 -1.939213) (xy 1.490699 -1.948885) (xy 1.491569 -1.958899) (xy 1.497549 -1.964127) + (xy 1.508018 -1.979134) (xy 1.514819 -2.004044) (xy 1.515033 -2.005755) (xy 1.518595 -2.035243) + (xy 1.521685 -2.058789) (xy 1.527017 -2.08279) (xy 1.53649 -2.114962) (xy 1.54789 -2.148808) (xy 1.558714 -2.177083) + (xy 1.641974 -2.177083) (xy 1.642713 -2.171851) (xy 1.655217 -2.163165) (xy 1.665445 -2.169986) + (xy 1.666875 -2.17754) (xy 1.660291 -2.187554) (xy 1.652049 -2.186422) (xy 1.641974 -2.177083) (xy 1.558714 -2.177083) + (xy 1.559002 -2.177834) (xy 1.56761 -2.195544) (xy 1.568876 -2.197199) (xy 1.574602 -2.212655) (xy 1.575181 -2.21785) + (xy 1.647031 -2.21785) (xy 1.653605 -2.207841) (xy 1.661914 -2.20899) (xy 1.675044 -2.218729) (xy 1.676797 -2.223562) + (xy 1.668745 -2.231427) (xy 1.661914 -2.232422) (xy 1.648756 -2.224639) (xy 1.647031 -2.21785) (xy 1.575181 -2.21785) + (xy 1.577493 -2.238573) (xy 1.577578 -2.243861) (xy 1.58077 -2.275643) (xy 1.588881 -2.304891) (xy 1.599713 -2.325491) + (xy 1.609125 -2.331641) (xy 1.613474 -2.339741) (xy 1.611653 -2.355511) (xy 1.61294 -2.384794) (xy 1.621251 -2.403174) + (xy 1.631276 -2.426691) (xy 1.628994 -2.453894) (xy 1.627209 -2.46055) (xy 1.622528 -2.488648) (xy 1.629064 -2.50736) + (xy 1.630885 -2.509625) (xy 1.640969 -2.527869) (xy 1.650869 -2.555558) (xy 1.658617 -2.58538) (xy 1.662243 -2.610021) + (xy 1.660877 -2.621053) (xy 1.65018 -2.623997) (xy 1.635218 -2.615248) (xy 1.622196 -2.599969) (xy 1.617261 -2.584742) + (xy 1.613824 -2.564531) (xy 1.605009 -2.534107) (xy 1.597417 -2.512608) (xy 1.586234 -2.479128) + (xy 1.579039 -2.449796) (xy 1.577578 -2.437252) (xy 1.570455 -2.413429) (xy 1.556679 -2.39519) (xy 1.539404 -2.375313) + (xy 1.52281 -2.349612) (xy 1.510019 -2.324025) (xy 1.504158 -2.304488) (xy 1.505471 -2.297914) (xy 1.50324 -2.288385) + (xy 1.490163 -2.276279) (xy 1.4736 -2.256511) (xy 1.468438 -2.238035) (xy 1.462415 -2.214514) (xy 1.453664 -2.202747) + (xy 1.443736 -2.18895) (xy 1.444078 -2.182092) (xy 1.444177 -2.167476) (xy 1.43965 -2.155931) (xy 1.427646 -2.128863) + (xy 1.4183 -2.099344) (xy 1.413546 -2.074572) (xy 1.414572 -2.06261) (xy 1.411721 -2.055018) (xy 1.40488 -2.053828) + (xy 1.387853 -2.045076) (xy 1.374519 -2.023479) (xy 1.369219 -1.996398) (xy 1.362762 -1.976311) + (xy 1.347099 -1.953508) (xy 1.345887 -1.952176) (xy 1.328106 -1.924552) (xy 1.316898 -1.892012) + (xy 1.316602 -1.89031) (xy 1.309564 -1.86207) (xy 1.300158 -1.841151) (xy 1.298856 -1.839412) (xy 1.289883 -1.820084) + (xy 1.284146 -1.792506) (xy 1.283964 -1.790643) (xy 1.275679 -1.759761) (xy 1.259248 -1.728059) + (xy 1.255587 -1.722944) (xy 1.239625 -1.698434) (xy 1.230879 -1.67804) (xy 1.230313 -1.673965) (xy 1.224588 -1.656256) + (xy 1.219987 -1.651743) (xy 1.214112 -1.638367) (xy 1.215695 -1.626353) (xy 1.216018 -1.610625) + (xy 1.20998 -1.607344) (xy 1.202445 -1.599532) (xy 1.203391 -1.587618) (xy 1.201176 -1.565224) (xy 1.189665 -1.543885) + (xy 1.176071 -1.521923) (xy 1.170781 -1.504665) (xy 1.165079 -1.487382) (xy 1.160859 -1.48332) (xy 1.152658 -1.46939) + (xy 1.150938 -1.457001) (xy 1.142276 -1.437746) (xy 1.130357 -1.431432) (xy 1.115141 -1.421726) + (xy 1.11509 -1.404879) (xy 1.11502 -1.379702) (xy 1.107432 -1.346651) (xy 1.094945 -1.31491) (xy 1.084731 -1.298438) + (xy 1.076782 -1.281672) (xy 1.067836 -1.252641) (xy 1.062048 -1.228005) (xy 1.054298 -1.196401) + (xy 1.046302 -1.173425) (xy 1.04126 -1.165488) (xy 1.033674 -1.153502) (xy 1.023689 -1.128506) (xy 1.016419 -1.105784) + (xy 1.006403 -1.076442) (xy 0.996855 -1.056807) (xy 0.991483 -1.051719) (xy 0.984961 -1.043107) + (xy 0.982266 -1.022539) (xy 0.978907 -0.999408) (xy 0.971409 -0.986649) (xy 0.96472 -0.972857) (xy 0.965118 -0.956061) + (xy 0.96577 -0.936014) (xy 0.961759 -0.927285) (xy 0.955618 -0.91562) (xy 0.947898 -0.890481) (xy 0.942045 -0.865511) + (xy 0.933214 -0.830726) (xy 0.923004 -0.80159) (xy 0.916573 -0.789062) (xy 0.906006 -0.763955) (xy 0.902891 -0.742235) + (xy 0.897981 -0.715596) (xy 0.885885 -0.684982) (xy 0.883047 -0.679648) (xy 0.868948 -0.653514) + (xy 0.864151 -0.640182) (xy 0.698542 -0.640182) (xy 0.701114 -0.656673) (xy 0.709709 -0.685949) + (xy 0.723624 -0.708686) (xy 0.725277 -0.710301) (xy 0.739846 -0.729627) (xy 0.744141 -0.744125) + (xy 0.747249 -0.76227) (xy 0.755278 -0.791692) (xy 0.763337 -0.816672) (xy 0.774787 -0.852602) (xy 0.783737 -0.885428) + (xy 0.78706 -0.901194) (xy 0.795471 -0.932628) (xy 0.808799 -0.962118) (xy 0.82322 -0.981475) (xy 0.825264 -0.983014) + (xy 0.831748 -0.995922) (xy 0.835962 -1.019796) (xy 0.836181 -1.022702) (xy 0.839643 -1.047169) + (xy 0.845135 -1.061589) (xy 0.845778 -1.062188) (xy 0.851742 -1.074414) (xy 0.858706 -1.099419) + (xy 0.862224 -1.11622) (xy 0.870715 -1.147075) (xy 0.88199 -1.17038) (xy 0.887683 -1.176732) (xy 0.897426 -1.189122) + (xy 0.893562 -1.195219) (xy 0.885206 -1.208593) (xy 0.883446 -1.228152) (xy 0.887927 -1.244877) + (xy 0.895725 -1.250156) (xy 0.910061 -1.255982) (xy 0.924976 -1.269491) (xy 0.93558 -1.284735) (xy 0.936986 -1.295764) + (xy 0.934591 -1.297467) (xy 0.928681 -1.307657) (xy 0.932472 -1.317836) (xy 0.940013 -1.338526) + (xy 0.946754 -1.367576) (xy 0.947544 -1.372221) (xy 0.954895 -1.397968) (xy 0.965437 -1.41399) (xy 0.96793 -1.41545) + (xy 0.980725 -1.428946) (xy 0.982266 -1.436549) (xy 0.985437 -1.456502) (xy 0.993813 -1.489483) + (xy 1.005693 -1.529919) (xy 1.019372 -1.572235) (xy 1.033146 -1.610858) (xy 1.042886 -1.634894) + (xy 1.054272 -1.664306) (xy 1.060929 -1.688558) (xy 1.061641 -1.694978) (xy 1.067282 -1.713801) + (xy 1.081333 -1.738941) (xy 1.086445 -1.74625) (xy 1.102177 -1.771814) (xy 1.110731 -1.793968) (xy 1.11125 -1.798449) + (xy 1.116953 -1.818192) (xy 1.131042 -1.84335) (xy 1.134787 -1.848611) (xy 1.160889 -1.897925) (xy 1.173792 -1.959407) + (xy 1.173808 -1.95957) (xy 1.178714 -1.97853) (xy 1.183135 -1.984931) (xy 1.189082 -1.997881) (xy 1.192564 -2.019657) + (xy 1.199967 -2.047496) (xy 1.215339 -2.076664) (xy 1.217417 -2.079569) (xy 1.232538 -2.1053) (xy 1.240052 -2.128636) + (xy 1.240234 -2.131575) (xy 1.24808 -2.153414) (xy 1.260078 -2.165271) (xy 1.275838 -2.18443) (xy 1.279922 -2.201304) + (xy 1.284431 -2.222487) (xy 1.296132 -2.253404) (xy 1.30916 -2.280772) (xy 1.330541 -2.322465) (xy 1.353125 -2.367802) + (xy 1.364458 -2.391172) (xy 1.389396 -2.442474) (xy 1.407746 -2.477898) (xy 1.420797 -2.499778) + (xy 1.429842 -2.510448) (xy 1.430805 -2.511144) (xy 1.437863 -2.523713) (xy 1.43762 -2.526026) (xy 1.439788 -2.544608) + (xy 1.449993 -2.572876) (xy 1.45924 -2.591955) (xy 1.467144 -2.613847) (xy 1.468437 -2.623639) (xy 1.475881 -2.640087) + (xy 1.481292 -2.645267) (xy 1.648381 -2.645267) (xy 1.651552 -2.639534) (xy 1.655892 -2.639219) + (xy 1.666547 -2.647171) (xy 1.671002 -2.655505) (xy 1.673546 -2.666216) (xy 1.66508 -2.661649) (xy 1.662141 -2.659251) + (xy 1.648381 -2.645267) (xy 1.481292 -2.645267) (xy 1.488416 -2.652087) (xy 1.502566 -2.669041) + (xy 1.508329 -2.697181) (xy 1.508744 -2.709701) (xy 1.508822 -2.712515) (xy 1.729817 -2.712515) + (xy 1.731367 -2.708672) (xy 1.740283 -2.699207) (xy 1.741875 -2.69875) (xy 1.746136 -2.706426) (xy 1.74625 -2.708672) + (xy 1.738623 -2.718212) (xy 1.735743 -2.718594) (xy 1.729817 -2.712515) (xy 1.508822 -2.712515) + (xy 1.509749 -2.745751) (xy 1.511792 -2.779656) (xy 1.51233 -2.785566) (xy 1.515018 -2.813911) (xy 1.516586 -2.832695) + (xy 1.736328 -2.832695) (xy 1.741289 -2.827734) (xy 1.74625 -2.832695) (xy 1.741289 -2.837656) (xy 1.736328 -2.832695) + (xy 1.516586 -2.832695) (xy 1.516807 -2.835346) (xy 1.523676 -2.851305) (xy 1.540498 -2.876324) + (xy 1.563639 -2.904999) (xy 1.563755 -2.905132) (xy 1.591382 -2.934421) (xy 1.611171 -2.949302) + (xy 1.626167 -2.95196) (xy 1.628247 -2.951421) (xy 1.643221 -2.951282) (xy 1.647031 -2.965303) (xy 1.655364 -2.983176) + (xy 1.674345 -2.993756) (xy 1.694954 -2.992352) (xy 1.69695 -2.991254) (xy 1.703143 -2.993441) (xy 1.700924 -3.010458) + (xy 1.69977 -3.031003) (xy 1.709132 -3.035133) (xy 1.724422 -3.024187) (xy 1.734253 -3.017285) (xy 1.736328 -3.024187) + (xy 1.744618 -3.033852) (xy 1.756172 -3.036094) (xy 1.772581 -3.029577) (xy 1.776016 -3.020502) + (xy 1.782614 -3.000468) (xy 1.789369 -2.991558) (xy 1.796449 -2.978926) (xy 1.798131 -2.957531) + (xy 1.794692 -2.922515) (xy 1.793691 -2.915372) (xy 1.788395 -2.875159) (xy 1.78414 -2.836872) (xy 1.782336 -2.815676) + (xy 1.779264 -2.789953) (xy 1.774673 -2.773842) (xy 1.773736 -2.772538) (xy 1.771129 -2.758991) + (xy 1.772848 -2.752224) (xy 1.771859 -2.733186) (xy 1.76705 -2.724707) (xy 1.761022 -2.702879) (xy 1.764269 -2.688512) + (xy 1.766203 -2.66146) (xy 1.754501 -2.628181) (xy 1.742524 -2.594816) (xy 1.736498 -2.561236) (xy 1.736328 -2.556189) + (xy 1.733719 -2.532305) (xy 1.727328 -2.519009) (xy 1.726242 -2.518448) (xy 1.717747 -2.507239) + (xy 1.711647 -2.485375) (xy 1.70583 -2.455686) (xy 1.697384 -2.421287) (xy 1.695914 -2.415977) (xy 1.686945 -2.378388) + (xy 1.680103 -2.339882) (xy 1.679669 -2.336602) (xy 1.672358 -2.305877) (xy 1.660781 -2.28106) (xy 1.658359 -2.277868) + (xy 1.647721 -2.26438) (xy 1.650875 -2.262334) (xy 1.660245 -2.265466) (xy 1.6792 -2.271075) (xy 1.686166 -2.272109) + (xy 1.690848 -2.280575) (xy 1.690937 -2.299206) (xy 1.694575 -2.333485) (xy 1.709274 -2.371526) + (xy 1.731052 -2.404151) (xy 1.740424 -2.413275) (xy 1.753702 -2.425596) (xy 1.751695 -2.430091) + (xy 1.74377 -2.430639) (xy 1.729031 -2.434944) (xy 1.726406 -2.439566) (xy 1.73389 -2.454072) (xy 1.749988 -2.465045) + (xy 1.765172 -2.466127) (xy 1.765738 -2.465806) (xy 1.771888 -2.45196) (xy 1.770421 -2.428986) (xy 1.76246 -2.40557) + (xy 1.756823 -2.396917) (xy 1.748519 -2.378956) (xy 1.742401 -2.3523) (xy 1.742178 -2.350648) (xy 1.734106 -2.316659) + (xy 1.722246 -2.286992) (xy 1.711469 -2.262774) (xy 1.706641 -2.244812) (xy 1.706624 -2.244208) + (xy 1.703476 -2.224821) (xy 1.695695 -2.197734) (xy 1.685585 -2.169292) (xy 1.675448 -2.14584) (xy 1.667588 -2.133721) + (xy 1.666319 -2.133203) (xy 1.654028 -2.140358) (xy 1.651992 -2.143125) (xy 1.64003 -2.152655) (xy 1.637453 -2.153047) + (xy 1.633108 -2.145343) (xy 1.635529 -2.127361) (xy 1.643066 -2.106793) (xy 1.649679 -2.095996) + (xy 1.652847 -2.085691) (xy 1.643634 -2.083594) (xy 1.626574 -2.07499) (xy 1.612438 -2.054318) (xy 1.605783 -2.029285) + (xy 1.606166 -2.020216) (xy 1.602655 -2.000775) (xy 1.596191 -1.993536) (xy 1.589297 -1.984339) + (xy 1.597319 -1.97022) (xy 1.600297 -1.966836) (xy 1.614942 -1.947305) (xy 1.613408 -1.937348) (xy 1.597422 -1.934766) + (xy 1.580692 -1.9277) (xy 1.577578 -1.91713) (xy 1.572064 -1.89558) (xy 1.562697 -1.878248) (xy 1.55342 -1.856772) + (xy 1.553605 -1.84192) (xy 1.5556 -1.81668) (xy 1.549236 -1.778791) (xy 1.536013 -1.732826) (xy 1.517426 -1.683361) + (xy 1.494975 -1.634968) (xy 1.473543 -1.597422) (xy 1.46383 -1.57603) (xy 1.461815 -1.567836) (xy 1.453755 -1.552498) + (xy 1.449134 -1.549646) (xy 1.44108 -1.538244) (xy 1.438672 -1.522181) (xy 1.435556 -1.503928) (xy 1.429854 -1.498203) + (xy 1.422234 -1.489575) (xy 1.415455 -1.468543) (xy 1.414937 -1.465957) (xy 1.405723 -1.438507) + (xy 1.389251 -1.405398) (xy 1.379646 -1.389722) (xy 1.364075 -1.363916) (xy 1.355405 -1.344837) + (xy 1.35481 -1.338686) (xy 1.354155 -1.325218) (xy 1.346685 -1.302382) (xy 1.335647 -1.277911) (xy 1.32429 -1.259541) + (xy 1.31976 -1.25521) (xy 1.310938 -1.240959) (xy 1.309688 -1.23203) (xy 1.304352 -1.213606) (xy 1.291006 -1.188043) + (xy 1.285392 -1.179321) (xy 1.268314 -1.150353) (xy 1.256213 -1.123021) (xy 1.254551 -1.117487) + (xy 1.246879 -1.098322) (xy 1.239159 -1.091406) (xy 1.231183 -1.083413) (xy 1.230313 -1.07735) (xy 1.222808 -1.061398) + (xy 1.21791 -1.058262) (xy 1.211593 -1.051441) (xy 1.220391 -1.042704) (xy 1.227524 -1.035197) (xy 1.217993 -1.032309) + (xy 1.207988 -1.032027) (xy 1.187612 -1.028365) (xy 1.180786 -1.014689) (xy 1.180551 -1.009551) + (xy 1.175913 -0.98415) (xy 1.165008 -0.964044) (xy 1.15378 -0.956973) (xy 1.121738 -0.94765) (xy 1.100972 -0.923193) + (xy 1.093293 -0.901414) (xy 1.087995 -0.872801) (xy 1.087375 -0.851053) (xy 1.088266 -0.847154) + (xy 1.086928 -0.835153) (xy 1.08204 -0.833437) (xy 1.070186 -0.824835) (xy 1.058536 -0.803948) (xy 1.04994 -0.77816) + (xy 1.047251 -0.754853) (xy 1.048714 -0.747176) (xy 1.051818 -0.735139) (xy 1.044096 -0.738574) + (xy 1.039311 -0.742362) (xy 1.026998 -0.748919) (xy 1.017053 -0.74181) (xy 1.008125 -0.726336) (xy 0.995502 -0.696157) + (xy 0.988016 -0.669386) (xy 0.981824 -0.647172) (xy 0.975177 -0.635804) (xy 0.958124 -0.613512) + (xy 0.948868 -0.58321) (xy 0.94901 -0.564856) (xy 0.947281 -0.544964) (xy 0.933544 -0.521152) (xy 0.91325 -0.49732) + (xy 0.891597 -0.471332) (xy 0.877013 -0.448935) (xy 0.873088 -0.437789) (xy 0.86812 -0.419063) (xy 0.855943 -0.39377) + (xy 0.853244 -0.389194) (xy 0.840284 -0.36484) (xy 0.83366 -0.346335) (xy 0.833438 -0.344083) (xy 0.82697 -0.325836) + (xy 0.807015 -0.297018) (xy 0.776387 -0.26053) (xy 0.761119 -0.240197) (xy 0.754111 -0.224889) (xy 0.754063 -0.224097) + (xy 0.748867 -0.209453) (xy 0.735889 -0.186418) (xy 0.73066 -0.178416) (xy 0.71384 -0.143528) (xy 0.702118 -0.099721) + (xy 0.700272 -0.08755) (xy 0.693876 -0.051539) (xy 0.684098 -0.029328) (xy 0.669104 -0.015387) (xy 0.651407 0.00249) + (xy 0.644922 0.020072) (xy 0.641765 0.036089) (xy 0.63748 0.039695) (xy 0.631386 0.048221) (xy 0.628608 0.064499) + (xy 0.62914 0.07876) (xy 0.636033 0.086145) (xy 0.654043 0.088906) (xy 0.681009 0.089297) (xy 0.717538 0.087055) + (xy 0.736742 0.080003) (xy 0.740258 0.075181) (xy 0.754287 0.06034) (xy 0.779849 0.047662) (xy 0.809688 0.039249) + (xy 0.836544 0.037203) (xy 0.852349 0.042724) (xy 0.865632 0.048109) (xy 0.870192 0.045928) (xy 0.869646 0.035395) + (xy 0.862916 0.029038) (xy 0.854349 0.020038) (xy 0.86138 0.009732) (xy 0.86758 0.004772) (xy 0.887695 -0.006775) + (xy 0.899826 -0.009922) (xy 0.911764 -0.015948) (xy 0.912813 -0.019844) (xy 0.921051 -0.028117) + (xy 0.931132 -0.029766) (xy 0.952101 -0.034075) (xy 0.979123 -0.044611) (xy 0.982418 -0.046212) + (xy 1.010909 -0.056005) (xy 1.049127 -0.0637) (xy 1.07572 -0.06662) (xy 1.110374 -0.067977) (xy 1.13091 -0.065515) + (xy 1.142885 -0.05802) (xy 1.148156 -0.050791) (xy 1.155231 -0.02819) (xy 1.149622 -0.000493) (xy 1.143774 0.025205) + (xy 1.144542 0.044486) (xy 1.144595 0.044625) (xy 1.144847 0.060663) (xy 1.140483 0.090066) (xy 1.132403 0.127141) + (xy 1.130082 0.136278) (xy 1.120127 0.174727) (xy 1.111912 0.207048) (xy 1.106934 0.227341) (xy 1.106432 0.229559) + (xy 1.102481 0.243441) (xy 1.09497 0.261319) (xy 1.081463 0.288708) (xy 1.073112 0.304963) (xy 1.063831 0.333242) + (xy 1.061641 0.352092) (xy 1.058261 0.370803) (xy 1.051719 0.377031) (xy 1.043577 0.385315) (xy 1.041797 0.396289) + (xy 1.037241 0.415283) (xy 1.031875 0.42168) (xy 1.025554 0.434678) (xy 1.022145 0.459388) (xy 1.021953 0.467225) + (xy 1.018427 0.497052) (xy 1.008648 0.51172) (xy 1.008046 0.511975) (xy 0.995816 0.525717) (xy 0.988017 0.550521) + (xy 0.986087 0.577835) (xy 0.991467 0.599104) (xy 0.993011 0.601265) (xy 0.999752 0.616549) (xy 0.994395 0.624139) + (xy 0.981369 0.618211) (xy 0.980653 0.617513) (xy 0.963337 0.610949) (xy 0.942755 0.611648) (xy 0.913565 0.61079) + (xy 0.894473 0.593998) (xy 0.885 0.560585) (xy 0.883826 0.527297) (xy 0.884887 0.468031) (xy 0.884329 0.425982) + (xy 0.881868 0.398571) (xy 0.877223 0.383215) (xy 0.870111 0.377334) (xy 0.867376 0.377031) (xy 0.857271 0.372996) + (xy 0.856197 0.357636) (xy 0.858499 0.344785) (xy 0.865745 0.31967) (xy 0.873893 0.30342) (xy 0.87417 0.303113) + (xy 0.88146 0.284094) (xy 0.882302 0.255692) (xy 0.876849 0.22724) (xy 0.872776 0.217629) (xy 0.867835 0.202947) + (xy 0.877935 0.198549) (xy 0.882698 0.198438) (xy 0.898977 0.192673) (xy 0.902891 0.184382) (xy 0.909858 0.169205) + (xy 0.914569 0.166433) (xy 0.920891 0.156465) (xy 0.918756 0.150419) (xy 0.905031 0.144378) (xy 0.880521 0.144122) + (xy 0.85315 0.148825) (xy 0.830845 0.157664) (xy 0.82764 0.159894) (xy 0.810941 0.166918) (xy 0.784978 0.172478) + (xy 0.782992 0.172746) (xy 0.730651 0.184696) (xy 0.693002 0.205962) (xy 0.677008 0.222546) (xy 0.653448 0.242806) + (xy 0.62759 0.253994) (xy 0.605139 0.263467) (xy 0.588145 0.284657) (xy 0.58019 0.300901) (xy 0.563254 0.332916) + (xy 0.543734 0.36134) (xy 0.539008 0.36683) (xy 0.523416 0.385336) (xy 0.51603 0.397426) (xy 0.515938 0.398097) + (xy 0.507714 0.405415) (xy 0.496094 0.409672) (xy 0.480379 0.422205) (xy 0.476664 0.442856) (xy 0.486132 0.46232) + (xy 0.488521 0.47687) (xy 0.483739 0.503773) (xy 0.473694 0.537736) (xy 0.460293 0.573467) (xy 0.445441 0.605672) + (xy 0.431045 0.629058) (xy 0.423313 0.636724) (xy 0.419212 0.648464) (xy 0.424921 0.657732) (xy 0.431395 0.672436) + (xy 0.422998 0.683515) (xy 0.409519 0.704467) (xy 0.39787 0.743813) (xy 0.389745 0.788776) (xy 0.383591 0.813887) + (xy 0.375987 0.829735) (xy 0.370902 0.845094) (xy 0.367186 0.873523) (xy 0.365831 0.901682) (xy 0.364341 0.933443) + (xy 0.361317 0.955427) (xy 0.357959 0.962422) (xy 0.349627 0.970489) (xy 0.337667 0.990529) (xy 0.334381 0.997148) + (xy 0.318392 1.022074) (xy 0.299629 1.031461) (xy 0.293205 1.031875) (xy 0.270625 1.025347) (xy 0.259153 1.014244) + (xy 0.252993 0.992062) (xy 0.252252 0.964671) (xy 0.256318 0.939933) (xy 0.264579 0.925711) (xy 0.266109 0.924982) + (xy 0.275458 0.913136) (xy 0.277258 0.893141) (xy 0.271638 0.875148) (xy 0.26541 0.869774) (xy 0.258099 0.865291) + (xy 0.26541 0.863986) (xy 0.275853 0.855072) (xy 0.277813 0.845344) (xy 0.284043 0.823391) (xy 0.289632 0.815665) + (xy 0.29797 0.797643) (xy 0.304436 0.765023) (xy 0.308155 0.723023) (xy 0.308251 0.719336) (xy 0.347266 0.719336) + (xy 0.352227 0.724297) (xy 0.357188 0.719336) (xy 0.352227 0.714375) (xy 0.347266 0.719336) (xy 0.308251 0.719336) + (xy 0.308702 0.701973) (xy 0.315703 0.687287) (xy 0.323571 0.684609) (xy 0.333107 0.680404) (xy 0.328845 0.66766) + (xy 0.325736 0.649595) (xy 0.334133 0.639908) (xy 0.339182 0.633295) (xy 0.328814 0.630472) (xy 0.305919 0.630485) + (xy 0.266219 0.632092) (xy 0.242914 0.634186) (xy 0.232554 0.637395) (xy 0.23169 0.642349) (xy 0.232522 0.643882) + (xy 0.22988 0.65439) (xy 0.223185 0.658454) (xy 0.210977 0.671893) (xy 0.208359 0.684298) (xy 0.203458 0.700575) + (xy 0.196463 0.704453) (xy 0.18323 0.711553) (xy 0.162323 0.729717) (xy 0.138113 0.754236) (xy 0.114972 0.780405) + (xy 0.09727 0.803517) (xy 0.089378 0.818864) (xy 0.089297 0.819843) (xy 0.080968 0.832157) (xy 0.060185 0.845886) + (xy 0.05209 0.849685) (xy 0.01773 0.864802) (xy -0.002593 0.87566) (xy -0.013208 0.885513) (xy -0.018446 0.897611) + (xy -0.02001 0.90383) (xy -0.032024 0.923876) (xy -0.052997 0.930885) (xy -0.079262 0.942218) (xy -0.089566 0.95817) + (xy -0.099304 0.976212) (xy -0.107218 0.982266) (xy -0.117564 0.990069) (xy -0.128984 1.00707) (xy -0.148326 1.027424) + (xy -0.165038 1.031875) (xy -0.187697 1.039927) (xy -0.198437 1.051719) (xy -0.212213 1.067501) + (xy -0.22253 1.071563) (xy -0.237532 1.079559) (xy -0.241713 1.086445) (xy -0.254372 1.099635) (xy -0.261543 1.101328) + (xy -0.274265 1.10979) (xy -0.281887 1.126133) (xy -0.294118 1.146665) (xy -0.307964 1.150938) (xy -0.328517 1.156054) + (xy -0.355835 1.168845) (xy -0.364826 1.174154) (xy -0.395463 1.190098) (xy -0.434848 1.20638) (xy -0.461367 1.215387) + (xy -0.495615 1.227998) (xy -0.523498 1.242262) (xy -0.536439 1.252517) (xy -0.547637 1.262851) + (xy -0.563166 1.267628) (xy -0.588668 1.267775) (xy -0.615814 1.265589) (xy -0.667222 1.260058) + (xy -0.703145 1.254132) (xy -0.727923 1.246604) (xy -0.745898 1.236267) (xy -0.757165 1.226308) + (xy -0.781515 1.199985) (xy -0.805871 1.170488) (xy -0.826616 1.142601) (xy -0.840133 1.121107) + (xy -0.843359 1.112303) (xy -0.850472 1.101837) (xy -0.853527 1.101328) (xy -0.864951 1.09262) (xy -0.875551 1.071177) + (xy -0.882662 1.044025) (xy -0.883935 1.021245) (xy -0.885921 0.995905) (xy -0.893326 0.96347) (xy -0.896642 0.95301) + (xy -0.905694 0.913863) (xy -0.902149 0.886057) (xy -0.897223 0.857507) (xy -0.898989 0.83771) (xy -0.900224 0.819629) + (xy -0.895201 0.813594) (xy -0.885706 0.805202) (xy -0.880519 0.79127) (xy -0.873547 0.765814) (xy -0.868869 0.752861) + (xy -0.870223 0.734855) (xy -0.882304 0.715809) (xy -0.898806 0.704866) (xy -0.902261 0.704453) + (xy -0.914598 0.710083) (xy -0.9347 0.723919) (xy -0.938381 0.726777) (xy -0.967144 0.745449) (xy -0.996707 0.759045) + (xy -0.99677 0.759066) (xy -1.019307 0.771039) (xy -1.026795 0.789662) (xy -1.026914 0.79352) (xy -1.035447 0.817986) + (xy -1.059012 0.838126) (xy -1.090208 0.869033) (xy -1.103171 0.896854) (xy -1.117966 0.928699) + (xy -1.140278 0.961356) (xy -1.147968 0.970176) (xy -1.167436 0.994221) (xy -1.179159 1.015267) + (xy -1.180703 1.022126) (xy -1.187852 1.042773) (xy -1.195586 1.051719) (xy -1.208192 1.068566) + (xy -1.210469 1.077654) (xy -1.218094 1.093101) (xy -1.230312 1.103631) (xy -1.246009 1.120242) + (xy -1.250156 1.13348) (xy -1.254814 1.148259) (xy -1.260078 1.150938) (xy -1.268139 1.159248) (xy -1.27 1.170781) + (xy -1.263796 1.186942) (xy -1.255117 1.190625) (xy -1.241917 1.196181) (xy -1.240234 1.200922) + (xy -1.248652 1.207537) (xy -1.266596 1.208364) (xy -1.287388 1.210211) (xy -1.298193 1.22534) (xy -1.300278 1.232093) + (xy -1.309818 1.256912) (xy -1.318896 1.27178) (xy -1.332858 1.290986) (xy -1.350632 1.319375) (xy -1.369157 1.351493) + (xy -1.385373 1.381886) (xy -1.396217 1.405098) (xy -1.398984 1.414484) (xy -1.405553 1.430864) + (xy -1.408906 1.433711) (xy -1.4174 1.447781) (xy -1.418828 1.45804) (xy -1.425941 1.476271) (xy -1.433711 1.481947) + (xy -1.446395 1.49551) (xy -1.448594 1.505829) (xy -1.45472 1.527861) (xy -1.46042 1.535826) (xy -1.471067 1.55043) + (xy -1.487019 1.576687) (xy -1.502588 1.604783) (xy -1.524888 1.641423) (xy -1.544182 1.660834) + (xy -1.555269 1.665087) (xy -1.571209 1.671062) (xy -1.572888 1.6864) (xy -1.571557 1.692372) (xy -1.5705 1.711346) + (xy -1.576503 1.716484) (xy -1.585434 1.724784) (xy -1.5875 1.736328) (xy -1.580582 1.752819) (xy -1.567071 1.756172) + (xy -1.55312 1.760145) (xy -1.55327 1.766898) (xy -1.566806 1.772945) (xy -1.583822 1.771619) (xy -1.60107 1.770182) + (xy -1.60992 1.78074) (xy -1.613916 1.796473) (xy -1.622146 1.819626) (xy -1.63338 1.832429) (xy -1.633559 1.8325) + (xy -1.645822 1.844417) (xy -1.647031 1.849953) (xy -1.653796 1.865294) (xy -1.666875 1.880195) + (xy -1.682097 1.902525) (xy -1.686719 1.921894) (xy -1.693756 1.945381) (xy -1.710144 1.967485) + (xy -1.729351 1.991159) (xy -1.740534 2.014141) (xy -1.754066 2.052105) (xy -1.770087 2.081963) + (xy -1.783441 2.096489) (xy -1.793931 2.112178) (xy -1.795859 2.12369) (xy -1.803649 2.143834) (xy -1.815703 2.155349) + (xy -1.83125 2.169261) (xy -1.835547 2.178789) (xy -1.841788 2.192831) (xy -1.857131 2.213033) (xy -1.860352 2.216601) + (xy -1.877079 2.240417) (xy -1.885048 2.263104) (xy -1.885156 2.265273) (xy -1.893024 2.28731) (xy -1.905966 2.300176) + (xy -1.919714 2.311812) (xy -1.921274 2.318674) (xy -1.921724 2.330664) (xy -1.930823 2.353249) + (xy -1.945622 2.380922) (xy -1.963172 2.408175) (xy -1.980524 2.429503) (xy -1.98075 2.429732) (xy -1.997161 2.452386) + (xy -2.004214 2.474217) (xy -2.004219 2.47467) (xy -2.011816 2.496846) (xy -2.024062 2.510234) (xy -2.039435 2.526054) + (xy -2.043906 2.537364) (xy -2.049008 2.556256) (xy -2.060652 2.58005) (xy -2.07335 2.597871) (xy -2.075324 2.599605) + (xy -2.085785 2.610727) (xy -2.104187 2.632943) (xy -2.126654 2.661403) (xy -2.149314 2.691257) + (xy -2.158008 2.703116) (xy -2.18365 2.738494) (xy -2.201241 2.760675) (xy -2.214753 2.772253) (xy -2.228157 2.775817) + (xy -2.245423 2.773961) (xy -2.261799 2.770849) (xy -2.291348 2.756847) (xy -2.307822 2.73046) (xy -2.310269 2.695017) + (xy -2.297735 2.653845) (xy -2.296223 2.650736) (xy -2.287197 2.624229) (xy -2.286281 2.601939) + (xy -2.286638 2.600648) (xy -2.284938 2.577965) (xy -2.277407 2.566559) (xy -2.276118 2.564805) + (xy -2.232422 2.564805) (xy -2.227461 2.569766) (xy -2.2225 2.564805) (xy -2.227461 2.559844) (xy -2.232422 2.564805) + (xy -2.276118 2.564805) (xy -2.265033 2.549724) (xy -2.262187 2.540709) (xy -2.258652 2.535039) + (xy -2.153047 2.535039) (xy -2.148086 2.54) (xy -2.143125 2.535039) (xy -2.148086 2.530078) (xy -2.153047 2.535039) + (xy -2.258652 2.535039) (xy -2.255823 2.5305) (xy -2.253368 2.530078) (xy -2.245468 2.522265) (xy -2.245009 2.517676) + (xy -2.244825 2.515195) (xy -2.2225 2.515195) (xy -2.217539 2.520156) (xy -2.212578 2.515195) (xy -2.217539 2.510234) + (xy -2.2225 2.515195) (xy -2.244825 2.515195) (xy -2.242188 2.479639) (xy -2.22785 2.448495) (xy -2.22347 2.442933) + (xy -2.163887 2.442933) (xy -2.134918 2.414572) (xy -2.117519 2.396485) (xy -2.113602 2.387536) + (xy -2.121915 2.383568) (xy -2.125389 2.382929) (xy -2.140834 2.381267) (xy -2.148866 2.386773) + (xy -2.154654 2.404608) (xy -2.157071 2.414572) (xy -2.163887 2.442933) (xy -2.22347 2.442933) (xy -2.213549 2.430334) + (xy -2.191531 2.399171) (xy -2.174136 2.364588) (xy -2.171932 2.358501) (xy -2.158089 2.329655) + (xy -2.140131 2.307619) (xy -2.136234 2.304659) (xy -2.119262 2.288985) (xy -2.113359 2.275929) + (xy -2.105145 2.264582) (xy -2.094591 2.262188) (xy -2.076985 2.253221) (xy -2.069685 2.237733) + (xy -2.060139 2.219766) (xy -2.048766 2.218951) (xy -2.036085 2.21846) (xy -2.033984 2.21364) (xy -2.040927 2.203165) + (xy -2.043906 2.202656) (xy -2.053352 2.19496) (xy -2.053828 2.191577) (xy -2.045975 2.184756) (xy -2.033971 2.185691) + (xy -2.020316 2.186655) (xy -2.01915 2.182735) (xy -2.017012 2.172581) (xy -2.014374 2.171258) (xy -2.007371 2.160155) + (xy -2.002481 2.136913) (xy -2.00191 2.130743) (xy -1.998521 2.106227) (xy -1.989959 2.095756) (xy -1.971472 2.093536) + (xy -1.971387 2.093536) (xy -1.953396 2.090721) (xy -1.949381 2.084027) (xy -1.962288 2.078622) + (xy -1.96981 2.080128) (xy -1.981517 2.078688) (xy -1.984587 2.066195) (xy -1.979725 2.049102) (xy -1.967632 2.033863) + (xy -1.964531 2.031682) (xy -1.948823 2.014849) (xy -1.944687 2.001316) (xy -1.935484 1.984308) + (xy -1.914922 1.972966) (xy -1.891415 1.958807) (xy -1.885156 1.943717) (xy -1.881676 1.928116) + (xy -1.877228 1.924844) (xy -1.869052 1.916473) (xy -1.858895 1.895779) (xy -1.856742 1.890117) + (xy -1.84617 1.867535) (xy -1.835995 1.855841) (xy -1.834236 1.855391) (xy -1.828609 1.847724) (xy -1.830142 1.836945) + (xy -1.832291 1.81615) (xy -1.827712 1.798271) (xy -1.818814 1.790372) (xy -1.814663 1.791541) (xy -1.807761 1.787834) + (xy -1.805781 1.775621) (xy -1.798835 1.755424) (xy -1.78159 1.731993) (xy -1.776016 1.726406) (xy -1.756989 1.703923) + (xy -1.746794 1.6827) (xy -1.74625 1.67834) (xy -1.738632 1.656648) (xy -1.727739 1.645113) (xy -1.712429 1.626396) + (xy -1.702372 1.600931) (xy -1.69137 1.572844) (xy -1.676234 1.552264) (xy -1.661285 1.530121) (xy -1.656953 1.511548) + (xy -1.652331 1.49367) (xy -1.644181 1.488281) (xy -1.634545 1.479459) (xy -1.629058 1.457329) (xy -1.628956 1.4561) + (xy -1.61869 1.423108) (xy -1.601274 1.399049) (xy -1.578572 1.373311) (xy -1.556412 1.342796) (xy -1.53856 1.313343) + (xy -1.536722 1.309102) (xy -1.379141 1.309102) (xy -1.371938 1.319206) (xy -1.369219 1.319609) + (xy -1.359555 1.316224) (xy -1.359297 1.315234) (xy -1.366249 1.306763) (xy -1.369219 1.304727) + (xy -1.378362 1.305513) (xy -1.379141 1.309102) (xy -1.536722 1.309102) (xy -1.528785 1.290786) + (xy -1.527969 1.285504) (xy -1.519974 1.270584) (xy -1.513086 1.266412) (xy -1.500319 1.25283) (xy -1.498203 1.242894) + (xy -1.492759 1.22586) (xy -1.478565 1.199116) (xy -1.469895 1.185664) (xy -1.44829 1.185664) (xy -1.444196 1.187439) + (xy -1.438672 1.180703) (xy -1.430276 1.162615) (xy -1.429054 1.155898) (xy -1.433148 1.154124) + (xy -1.438672 1.160859) (xy -1.447068 1.178947) (xy -1.44829 1.185664) (xy -1.469895 1.185664) (xy -1.460996 1.171857) + (xy -1.439547 1.140599) (xy -1.421314 1.113028) (xy -1.411716 1.097575) (xy -1.397685 1.081287) + (xy -1.386911 1.076523) (xy -1.365295 1.067722) (xy -1.351791 1.045063) (xy -1.349375 1.027623) + (xy -1.345381 1.007476) (xy -1.336503 1.002935) (xy -1.3274 1.015638) (xy -1.326209 1.019473) (xy -1.323366 1.022563) + (xy -1.322174 1.008859) (xy -1.322238 1.002109) (xy -1.31511 0.957768) (xy -1.290993 0.911683) (xy -1.249643 0.86268) + (xy -1.226057 0.834273) (xy -1.208748 0.80547) (xy -1.203381 0.790556) (xy -1.192251 0.762637) (xy -1.172859 0.734021) + (xy -1.168674 0.729365) (xy -1.14747 0.699293) (xy -1.144208 0.677168) (xy -1.145029 0.659885) (xy -1.142062 0.654844) + (xy -0.982266 0.654844) (xy -0.97888 0.664508) (xy -0.97789 0.664766) (xy -0.969419 0.657813) (xy -0.967383 0.654844) + (xy -0.968169 0.645701) (xy -0.971758 0.644922) (xy -0.981862 0.652124) (xy -0.982266 0.654844) + (xy -1.142062 0.654844) (xy -1.134541 0.646683) (xy -1.124027 0.626638) (xy -1.122266 0.622598) + (xy -1.102391 0.576415) (xy -1.087754 0.544567) (xy -1.076242 0.522954) (xy -1.06574 0.507479) (xy -1.058313 0.498613) + (xy -1.04473 0.479129) (xy -1.045586 0.470219) (xy -1.057891 0.472967) (xy -1.078657 0.488458) (xy -1.080782 0.49046) + (xy -1.101176 0.506442) (xy -1.11683 0.512564) (xy -1.119725 0.511871) (xy -1.133091 0.513643) (xy -1.152227 0.526287) + (xy -1.154313 0.52815) (xy -1.182644 0.553219) (xy -1.20296 0.568242) (xy -1.221064 0.577419) (xy -1.223901 0.578533) + (xy -1.236786 0.589633) (xy -1.237364 0.598405) (xy -1.242126 0.610914) (xy -1.26226 0.623523) (xy -1.263619 0.624093) + (xy -1.305364 0.6422) (xy -1.342517 0.659965) (xy -1.370869 0.67523) (xy -1.386212 0.685836) (xy -1.387607 0.687685) + (xy -1.406919 0.7134) (xy -1.43841 0.737397) (xy -1.474783 0.754157) (xy -1.47599 0.754525) (xy -1.504353 0.765772) + (xy -1.516697 0.778918) (xy -1.518047 0.787052) (xy -1.520312 0.800641) (xy -1.523768 0.801259) + (xy -1.535375 0.801542) (xy -1.558365 0.808745) (xy -1.572353 0.814499) (xy -1.596915 0.823454) + (xy -1.62496 0.829031) (xy -1.661546 0.831818) (xy -1.711728 0.832403) (xy -1.725382 0.832291) (xy -1.771673 0.83099) + (xy -1.807674 0.828376) (xy -1.829937 0.824786) (xy -1.835547 0.82157) (xy -1.843824 0.816471) (xy -1.862832 0.817749) + (xy -1.890902 0.819101) (xy -1.910666 0.806536) (xy -1.923707 0.786309) (xy -1.939479 0.76919) (xy -1.954238 0.763984) + (xy -1.973139 0.756643) (xy -1.992719 0.738926) (xy -1.993155 0.738377) (xy -2.012319 0.721098) + (xy -2.032084 0.719736) (xy -2.054801 0.735078) (xy -2.08282 0.767913) (xy -2.083343 0.768611) (xy -2.107256 0.795521) + (xy -2.1322 0.816013) (xy -2.144637 0.822556) (xy -2.164632 0.832608) (xy -2.172891 0.842843) (xy -2.181146 0.851478) + (xy -2.191659 0.853281) (xy -2.209268 0.862235) (xy -2.21652 0.877558) (xy -2.22909 0.899317) (xy -2.251155 0.91868) + (xy -2.252322 0.919385) (xy -2.272311 0.933857) (xy -2.281966 0.946079) (xy -2.282125 0.947198) + (xy -2.290491 0.956803) (xy -2.311697 0.969814) (xy -2.326774 0.977048) (xy -2.352636 0.99065) (xy -2.368711 1.003401) + (xy -2.371328 1.008574) (xy -2.380491 1.026109) (xy -2.405818 1.045647) (xy -2.444069 1.065046) + (xy -2.473846 1.076402) (xy -2.509402 1.090842) (xy -2.53042 1.106273) (xy -2.540793 1.123087) (xy -2.556551 1.145331) + (xy -2.57593 1.150938) (xy -2.594041 1.153609) (xy -2.599616 1.158379) (xy -2.608666 1.166779) (xy -2.632041 1.176034) + (xy -2.66434 1.184856) (xy -2.70016 1.19196) (xy -2.734099 1.196061) (xy -2.758281 1.196147) (xy -2.813471 1.189341) + (xy -2.849121 1.181173) (xy -2.865496 1.17153) (xy -2.862861 1.160296) (xy -2.85706 1.155898) (xy -2.639219 1.155898) + (xy -2.634258 1.160859) (xy -2.629297 1.155898) (xy -2.634258 1.150938) (xy -2.639219 1.155898) + (xy -2.85706 1.155898) (xy -2.85512 1.154427) (xy -2.852104 1.147119) (xy -2.867522 1.141033) (xy -2.889449 1.127603) + (xy -2.895608 1.111714) (xy -2.904071 1.087007) (xy -2.912971 1.074205) (xy -2.924271 1.056521) + (xy -2.926211 1.039934) (xy -2.918074 1.031922) (xy -2.917031 1.031875) (xy -2.90897 1.023565) (xy -2.907109 1.012031) + (xy -2.913313 0.995871) (xy -2.921992 0.992188) (xy -2.932751 0.991442) (xy -2.935685 0.985847) + (xy -2.930952 0.970361) (xy -2.923281 0.951231) (xy -2.915366 0.925726) (xy -2.913762 0.907002) + (xy -2.914186 0.905371) (xy -2.912128 0.894188) (xy -2.907936 0.892969) (xy -2.899788 0.884502) + (xy -2.897187 0.86875) (xy -2.893529 0.847741) (xy -2.887266 0.838398) (xy -2.878303 0.824114) (xy -2.877344 0.816988) + (xy -2.873108 0.797408) (xy -2.862063 0.766799) (xy -2.846701 0.731661) (xy -2.837501 0.713116) + (xy -2.830153 0.692906) (xy -2.822974 0.664114) (xy -2.821966 0.65899) (xy -2.810874 0.626439) (xy -2.793383 0.597959) + (xy -2.791522 0.595859) (xy -2.775613 0.573333) (xy -2.768502 0.552363) (xy -2.771387 0.538452) + (xy -2.778436 0.535781) (xy -2.790633 0.543679) (xy -2.794115 0.549971) (xy -2.806743 0.563829) + (xy -2.826049 0.574632) (xy -2.864754 0.593271) (xy -2.892342 0.613259) (xy -2.899938 0.622199) + (xy -2.914201 0.631936) (xy -2.939011 0.640023) (xy -2.942898 0.640815) (xy -2.967662 0.648669) + (xy -2.982474 0.659321) (xy -2.983355 0.661001) (xy -2.992679 0.670111) (xy -2.997332 0.669154) + (xy -3.001986 0.671582) (xy -3.000192 0.679133) (xy -3.001178 0.691486) (xy -3.015188 0.694531) + (xy -3.031873 0.698858) (xy -3.036094 0.705369) (xy -3.041772 0.720159) (xy -3.05548 0.740848) (xy -3.055937 0.741433) + (xy -3.069987 0.764337) (xy -3.075781 0.783726) (xy -3.082349 0.799366) (xy -3.099464 0.823148) + (xy -3.12043 0.846731) (xy -3.143624 0.87322) (xy -3.159651 0.89655) (xy -3.164763 0.910183) (xy -3.163264 0.921489) + (xy -3.156739 0.917178) (xy -3.14988 0.908436) (xy -3.138997 0.895275) (xy -3.135581 0.898633) (xy -3.135312 0.910331) + (xy -3.139477 0.930107) (xy -3.145234 0.937617) (xy -3.153656 0.951653) (xy -3.155156 0.962422) + (xy -3.161093 0.983176) (xy -3.177493 0.987598) (xy -3.193989 0.980497) (xy -3.212749 0.97353) (xy -3.221988 0.977715) + (xy -3.217476 0.989255) (xy -3.210528 0.995336) (xy -3.202282 1.003327) (xy -3.201176 1.012949) + (xy -3.208891 1.027365) (xy -3.227108 1.049738) (xy -3.256855 1.082526) (xy -3.27016 1.101435) (xy -3.274219 1.113858) + (xy -3.282238 1.127359) (xy -3.295418 1.136182) (xy -3.31162 1.147499) (xy -3.315862 1.155898) (xy -3.322548 1.172387) + (xy -3.339875 1.191268) (xy -3.360755 1.206021) (xy -3.375167 1.210469) (xy -3.390337 1.214215) + (xy -3.393281 1.218698) (xy -3.401674 1.224822) (xy -3.415587 1.226139) (xy -3.431757 1.229649) + (xy -3.440716 1.245102) (xy -3.444046 1.260078) (xy -3.44834 1.280045) (xy -3.451289 1.28611) (xy -3.451658 1.284883) + (xy -3.456475 1.280658) (xy -3.460406 1.284883) (xy -3.47877 1.300468) (xy -3.504839 1.313984) (xy -3.527092 1.319609) + (xy -3.539202 1.328222) (xy -3.542109 1.344414) (xy -3.547284 1.364364) (xy -3.556992 1.369219) + (xy -3.570199 1.374338) (xy -3.571875 1.378691) (xy -3.580543 1.386588) (xy -3.601847 1.393708) + (xy -3.606255 1.394613) (xy -3.628738 1.400472) (xy -3.639608 1.406549) (xy -3.639807 1.407465) + (xy -3.642182 1.427912) (xy -3.658531 1.438147) (xy -3.673184 1.440524) (xy -3.697692 1.446951) + (xy -3.709354 1.462939) (xy -3.710885 1.468438) (xy -3.721111 1.487831) (xy -3.742851 1.493673) + (xy -3.743469 1.493685) (xy -3.76799 1.498228) (xy -3.782166 1.506088) (xy -3.802146 1.5168) (xy -3.810769 1.518047) + (xy -3.83087 1.525171) (xy -3.839766 1.53293) (xy -3.859356 1.545666) (xy -3.870746 1.547813) (xy -3.886464 1.555418) + (xy -3.889375 1.567408) (xy -3.892699 1.580813) (xy -3.906405 1.583186) (xy -3.917733 1.581332) + (xy -3.939208 1.580009) (xy -3.949381 1.589833) (xy -3.95153 1.596463) (xy -3.958481 1.609683) (xy -3.973971 1.615825) + (xy -4.001736 1.617266) (xy -4.045145 1.619865) (xy -4.070238 1.627839) (xy -4.077891 1.640651) + (xy -4.087383 1.647672) (xy -4.114563 1.651442) (xy -4.13592 1.651992) (xy -4.172727 1.65437) (xy -4.205211 1.660481) + (xy -4.219918 1.66589) (xy -4.244477 1.674184) (xy -4.258627 1.669482) (xy -4.275307 1.662329) (xy -4.303947 1.655368) + (xy -4.323446 1.652174) (xy -4.353793 1.646623) (xy -4.375221 1.639973) (xy -4.381182 1.636018) + (xy -4.392681 1.631014) (xy -4.395391 1.632148) (xy -4.407506 1.630493) (xy -4.410173 1.627349) + (xy -4.424286 1.618823) (xy -4.435315 1.617266) (xy -4.454727 1.609278) (xy -4.464844 1.597422) + (xy -4.477069 1.581718) (xy -4.485249 1.577578) (xy -4.501233 1.572022) (xy -4.524254 1.558329) + (xy -4.548157 1.54096) (xy -4.566783 1.524376) (xy -4.573984 1.513284) (xy -4.582026 1.500258) (xy -4.595137 1.491797) + (xy -4.613846 1.472761) (xy -4.620494 1.448113) (xy -4.625363 1.409334) (xy -4.62911 1.382789) (xy -4.632899 1.360997) + (xy -4.63782 1.336833) (xy -4.646847 1.310223) (xy -4.659027 1.292395) (xy -4.661462 1.290664) (xy -4.671652 1.280081) + (xy -4.66559 1.267821) (xy -4.658854 1.247028) (xy -4.663004 1.219732) (xy -4.668137 1.187377) (xy -4.669544 1.149749) + (xy -4.669169 1.141016) (xy -4.669173 1.132936) (xy -4.543528 1.132936) (xy -4.538184 1.151621) + (xy -4.534135 1.155998) (xy -4.52796 1.167181) (xy -4.529065 1.170343) (xy -4.52671 1.18238) (xy -4.519081 1.190902) + (xy -4.50898 1.20988) (xy -4.509818 1.221417) (xy -4.509926 1.244229) (xy -4.504392 1.260338) (xy -4.49837 1.281335) + (xy -4.505212 1.295625) (xy -4.511589 1.310359) (xy -4.502169 1.326651) (xy -4.501337 1.327579) + (xy -4.490548 1.348454) (xy -4.483454 1.37854) (xy -4.482604 1.38691) (xy -4.478622 1.414663) (xy -4.470374 1.426976) + (xy -4.462363 1.428735) (xy -4.44769 1.435959) (xy -4.445 1.444192) (xy -4.438253 1.461522) (xy -4.421723 1.481149) + (xy -4.400972 1.498359) (xy -4.381566 1.508434) (xy -4.370068 1.507695) (xy -4.351227 1.504754) + (xy -4.329755 1.513311) (xy -4.303023 1.52123) (xy -4.262423 1.525913) (xy -4.213645 1.52749) (xy -4.162376 1.526091) + (xy -4.114305 1.521848) (xy -4.075121 1.514891) (xy -4.053086 1.506961) (xy -4.02532 1.495684) (xy -3.988886 1.486277) + (xy -3.97123 1.483322) (xy -3.940603 1.478257) (xy -3.924976 1.471655) (xy -3.919542 1.460784) (xy -3.919141 1.45375) + (xy -3.913251 1.435004) (xy -3.89263 1.425675) (xy -3.891855 1.425516) (xy -3.846948 1.415762) (xy -3.816066 1.406877) + (xy -3.793583 1.396976) (xy -3.778703 1.387652) (xy -3.757577 1.374802) (xy -3.742929 1.369226) + (xy -3.742653 1.369219) (xy -3.732606 1.360951) (xy -3.727763 1.349425) (xy -3.717693 1.33236) (xy -3.702178 1.322009) + (xy -3.688116 1.321733) (xy -3.683264 1.327746) (xy -3.674037 1.338317) (xy -3.663787 1.336749) + (xy -3.661172 1.329116) (xy -3.652908 1.31196) (xy -3.630643 1.289759) (xy -3.598164 1.26626) (xy -3.59668 1.26533) + (xy -3.572294 1.247277) (xy -3.55437 1.229203) (xy -3.553949 1.228625) (xy -3.537707 1.214034) (xy -3.526664 1.210469) + (xy -3.514001 1.202514) (xy -3.512344 1.195586) (xy -3.503736 1.183606) (xy -3.48785 1.180703) (xy -3.470249 1.177272) + (xy -3.469068 1.16582) (xy -3.468953 1.153061) (xy -3.464486 1.150938) (xy -3.454896 1.142565) (xy -3.451022 1.13048) + (xy -3.444184 1.11892) (xy -3.392951 1.11892) (xy -3.391019 1.129115) (xy -3.383372 1.124527) (xy -3.375034 1.115011) + (xy -3.352451 1.095969) (xy -3.333196 1.086552) (xy -3.307884 1.074941) (xy -3.286152 1.058805) + (xy -3.274696 1.043374) (xy -3.274219 1.040472) (xy -3.281757 1.038862) (xy -3.299226 1.043577) + (xy -3.318909 1.051869) (xy -3.333089 1.06099) (xy -3.33375 1.061668) (xy -3.344876 1.070299) (xy -3.364982 1.083776) + (xy -3.365996 1.084423) (xy -3.384892 1.101367) (xy -3.392948 1.118384) (xy -3.392951 1.11892) (xy -3.444184 1.11892) + (xy -3.440542 1.112762) (xy -3.417832 1.09367) (xy -3.409047 1.088312) (xy -3.385489 1.07259) (xy -3.356781 1.049903) + (xy -3.327301 1.024204) (xy -3.326172 1.023124) (xy -3.264297 1.023124) (xy -3.258184 1.028504) + (xy -3.254375 1.026914) (xy -3.244824 1.01377) (xy -3.244453 1.01086) (xy -3.250566 1.005481) (xy -3.254375 1.00707) + (xy -3.263926 1.020214) (xy -3.264297 1.023124) (xy -3.326172 1.023124) (xy -3.301429 0.999448) + (xy -3.283543 0.979586) (xy -3.277939 0.969955) (xy -3.276152 0.957461) (xy -3.184922 0.957461) + (xy -3.179961 0.962422) (xy -3.175 0.957461) (xy -3.179961 0.9525) (xy -3.184922 0.957461) (xy -3.276152 0.957461) + (xy -3.275585 0.953494) (xy -3.275459 0.9525) (xy -3.26596 0.944415) (xy -3.251895 0.941795) (xy -3.239375 0.939506) + (xy -3.241973 0.936007) (xy -3.252285 0.925119) (xy -3.253447 0.910845) (xy -3.24494 0.902954) (xy -3.243726 0.902891) + (xy -3.236377 0.895026) (xy -3.236507 0.885527) (xy -3.231388 0.867385) (xy -3.219871 0.857431) + (xy -3.205285 0.844003) (xy -3.202809 0.833536) (xy -3.199944 0.817733) (xy -3.196705 0.814503) + (xy -3.187843 0.803002) (xy -3.180435 0.785226) (xy -3.177246 0.769506) (xy -3.179945 0.763984) + (xy -3.197031 0.769787) (xy -3.222906 0.784693) (xy -3.251245 0.804945) (xy -3.257574 0.810071) + (xy -3.281153 0.825407) (xy -3.299258 0.82578) (xy -3.302757 0.824172) (xy -3.323147 0.820129) (xy -3.332902 0.822992) + (xy -3.352929 0.827476) (xy -3.364614 0.826103) (xy -3.379823 0.826279) (xy -3.383359 0.831157) + (xy -3.392964 0.838111) (xy -3.420625 0.846181) (xy -3.46461 0.854982) (xy -3.523189 0.86413) (xy -3.54582 0.867212) + (xy -3.576339 0.875043) (xy -3.601006 0.88764) (xy -3.603547 0.889733) (xy -3.619153 0.899416) (xy -3.642158 0.904343) + (xy -3.677816 0.90541) (xy -3.693496 0.90506) (xy -3.733497 0.902206) (xy -3.761023 0.896834) (xy -3.771589 0.890903) + (xy -3.781364 0.885477) (xy -3.797524 0.895637) (xy -3.799103 0.897047) (xy -3.820534 0.908666) + (xy -3.839369 0.906902) (xy -3.849316 0.892841) (xy -3.849687 0.888319) (xy -3.853158 0.875085) + (xy -3.864792 0.877157) (xy -3.886255 0.894698) (xy -3.901705 0.906244) (xy -3.909108 0.905941) + (xy -3.909219 0.904932) (xy -3.916333 0.897763) (xy -3.923072 0.898908) (xy -3.938338 0.89567) (xy -3.952205 0.882409) + (xy -3.968901 0.867541) (xy -3.982068 0.866191) (xy -3.999002 0.863819) (xy -4.014004 0.852612) + (xy -4.033958 0.837856) (xy -4.04953 0.833438) (xy -4.06689 0.824058) (xy -4.08227 0.798571) (xy -4.096319 0.773929) + (xy -4.112088 0.758287) (xy -4.11465 0.757057) (xy -4.133326 0.74466) (xy -4.150137 0.725556) (xy -4.159267 0.707089) + (xy -4.159255 0.700731) (xy -4.162741 0.687102) (xy -4.170453 0.675926) (xy -4.18993 0.643947) (xy -4.206921 0.598583) + (xy -4.219614 0.546279) (xy -4.225611 0.498166) (xy -4.10094 0.498166) (xy -4.093486 0.515108) (xy -4.087073 0.521568) + (xy -4.073161 0.545045) (xy -4.074062 0.561563) (xy -4.072407 0.584409) (xy -4.060922 0.610591) + (xy -4.043988 0.633003) (xy -4.025987 0.644544) (xy -4.022645 0.644922) (xy -4.009911 0.652239) + (xy -4.008437 0.658039) (xy -4.000793 0.672582) (xy -3.981286 0.693063) (xy -3.955055 0.715186) + (xy -3.927237 0.734653) (xy -3.902973 0.74717) (xy -3.901018 0.747849) (xy -3.869842 0.755722) (xy -3.832142 0.761926) + (xy -3.792734 0.766129) (xy -3.756433 0.768001) (xy -3.728055 0.76721) (xy -3.712416 0.763427) (xy -3.710804 0.760918) + (xy -3.7043 0.757868) (xy -3.698433 0.760523) (xy -3.680714 0.765943) (xy -3.654614 0.768679) (xy -3.62821 0.768535) + (xy -3.609577 0.765317) (xy -3.60584 0.762753) (xy -3.594283 0.757727) (xy -3.569075 0.752297) (xy -3.544433 0.748745) + (xy -3.509145 0.742765) (xy -3.47932 0.73457) (xy -3.466665 0.728929) (xy -3.442912 0.718529) (xy -3.411874 0.710125) + (xy -3.407134 0.709253) (xy -3.37263 0.700643) (xy -3.335091 0.68744) (xy -3.326417 0.683726) (xy -3.299176 0.672356) + (xy -3.279067 0.665588) (xy -3.274327 0.664766) (xy -3.264597 0.658103) (xy -3.264297 0.65597) (xy -3.255465 0.64941) + (xy -3.233111 0.642909) (xy -3.219648 0.64048) (xy -3.193067 0.634651) (xy -3.177084 0.627589) (xy -3.175 0.62447) + (xy -3.16704 0.616023) (xy -3.161274 0.615156) (xy -3.147476 0.605844) (xy -3.137889 0.585391) (xy -3.095625 0.585391) + (xy -3.091995 0.593557) (xy -3.08901 0.592005) (xy -3.087823 0.58023) (xy -3.08901 0.578776) (xy -3.094909 0.580138) + (xy -3.095625 0.585391) (xy -3.137889 0.585391) (xy -3.135521 0.580339) (xy -3.130447 0.560586) + (xy -3.125049 0.543637) (xy -3.120634 0.532634) (xy -3.11919 0.511641) (xy -3.124073 0.503364) (xy -3.133347 0.488509) + (xy -3.134675 0.475193) (xy -3.128139 0.470467) (xy -3.123705 0.472331) (xy -3.116339 0.472904) + (xy -3.118744 0.462995) (xy -3.128492 0.438793) (xy -3.131443 0.431472) (xy -3.050654 0.431472) + (xy -3.049626 0.441466) (xy -3.04021 0.451491) (xy -3.034892 0.450703) (xy -3.030276 0.438855) (xy -3.031557 0.435877) + (xy -3.042891 0.42679) (xy -3.050654 0.431472) (xy -3.131443 0.431472) (xy -3.131852 0.430457) (xy -3.133247 0.41954) + (xy -3.121327 0.419304) (xy -3.114489 0.421048) (xy -3.098483 0.424755) (xy -3.099754 0.420616) + (xy -3.108027 0.413658) (xy -3.12358 0.395216) (xy -3.120262 0.381104) (xy -3.105547 0.374156) (xy -3.08941 0.363299) + (xy -3.085703 0.353155) (xy -3.092547 0.34008) (xy -3.106642 0.338397) (xy -3.118335 0.349109) (xy -3.118592 0.349746) + (xy -3.12219 0.351983) (xy -3.123055 0.342305) (xy -3.126211 0.317091) (xy -3.129588 0.306402) (xy -3.128906 0.291589) + (xy -3.113549 0.282818) (xy -3.090664 0.275293) (xy -3.112458 0.270971) (xy -3.126806 0.264993) + (xy -3.131766 0.250804) (xy -3.130946 0.230063) (xy -3.135734 0.187549) (xy -3.146394 0.167092) + (xy -3.1579 0.144777) (xy -3.159918 0.127125) (xy -3.159746 0.126635) (xy -3.159527 0.119063) (xy -3.135312 0.119063) + (xy -3.131682 0.127229) (xy -3.128698 0.125677) (xy -3.12751 0.113902) (xy -3.128698 0.112448) (xy -3.134596 0.11381) + (xy -3.135312 0.119063) (xy -3.159527 0.119063) (xy -3.159202 0.107829) (xy -3.165292 0.083773) + (xy -3.168287 0.07276) (xy -3.132005 0.07276) (xy -3.130643 0.078659) (xy -3.125391 0.079375) (xy -3.117224 0.075745) + (xy -3.118776 0.07276) (xy -3.130551 0.071573) (xy -3.132005 0.07276) (xy -3.168287 0.07276) (xy -3.171271 0.061788) + (xy -3.166617 0.051957) (xy -3.164574 0.051095) (xy -3.159156 0.044933) (xy -3.166436 0.038486) + (xy -3.174619 0.029545) (xy -3.165078 0.019996) (xy -3.155939 0.012192) (xy -3.165228 0.010108) + (xy -3.167559 0.010074) (xy -3.182162 0.001872) (xy -3.184922 -0.010233) (xy -3.191387 -0.029312) + (xy -3.199805 -0.036099) (xy -3.211082 -0.050801) (xy -3.214687 -0.080196) (xy -3.217961 -0.108441) + (xy -3.230695 -0.131165) (xy -3.249414 -0.150182) (xy -3.252606 -0.153789) (xy -3.165078 -0.153789) + (xy -3.160117 -0.148828) (xy -3.155156 -0.153789) (xy -3.160117 -0.15875) (xy -3.165078 -0.153789) + (xy -3.252606 -0.153789) (xy -3.274095 -0.178076) (xy -3.280313 -0.193477) (xy -3.274219 -0.193477) + (xy -3.269258 -0.188516) (xy -3.264297 -0.193477) (xy -3.269258 -0.198437) (xy -3.274219 -0.193477) + (xy -3.280313 -0.193477) (xy -3.283695 -0.201852) (xy -3.277398 -0.218867) (xy -3.271738 -0.222525) + (xy -3.269824 -0.225597) (xy -3.281019 -0.224449) (xy -3.300701 -0.226705) (xy -3.307993 -0.234261) + (xy -3.320546 -0.246741) (xy -3.326753 -0.248047) (xy -3.340807 -0.255145) (xy -3.36031 -0.272852) + (xy -3.323828 -0.272852) (xy -3.318867 -0.267891) (xy -3.313906 -0.272852) (xy -3.318867 -0.277812) + (xy -3.323828 -0.272852) (xy -3.36031 -0.272852) (xy -3.360526 -0.273048) (xy -3.369176 -0.282773) + (xy -3.37857 -0.292695) (xy -3.33375 -0.292695) (xy -3.328789 -0.287734) (xy -3.323828 -0.292695) + (xy -3.328789 -0.297656) (xy -3.33375 -0.292695) (xy -3.37857 -0.292695) (xy -3.38899 -0.3037) (xy -3.405271 -0.316069) + (xy -3.409762 -0.3175) (xy -3.423435 -0.325307) (xy -3.425143 -0.328751) (xy -3.4336 -0.332425) + (xy -3.445392 -0.323503) (xy -3.456226 -0.305099) (xy -3.456379 -0.292639) (xy -3.460388 -0.277983) + (xy -3.479125 -0.266228) (xy -3.508234 -0.250291) (xy -3.529707 -0.234502) (xy -3.54517 -0.223462) + (xy -3.552147 -0.223591) (xy -3.552178 -0.223993) (xy -3.555933 -0.223213) (xy -3.564767 -0.208501) + (xy -3.564839 -0.208359) (xy -3.583188 -0.187475) (xy -3.607833 -0.173619) (xy -3.633873 -0.163094) + (xy -3.652223 -0.15244) (xy -3.671482 -0.142489) (xy -3.698301 -0.134319) (xy -3.698379 -0.134303) + (xy -3.723819 -0.123778) (xy -3.730625 -0.110029) (xy -3.738636 -0.09298) (xy -3.75543 -0.079375) + (xy -3.773417 -0.067543) (xy -3.780234 -0.059058) (xy -3.788399 -0.050844) (xy -3.808231 -0.040433) + (xy -3.81 -0.039687) (xy -3.833061 -0.0245) (xy -3.839766 -0.003757) (xy -3.835274 0.014945) (xy -3.827363 0.021394) + (xy -3.80818 0.023487) (xy -3.790156 0.025247) (xy -3.721568 0.032294) (xy -3.66911 0.039459) (xy -3.629078 0.047463) + (xy -3.597766 0.05703) (xy -3.574355 0.067382) (xy -3.552278 0.080636) (xy -3.54417 0.094397) (xy -3.548189 0.11523) + (xy -3.555694 0.133945) (xy -3.568384 0.163711) (xy -3.686712 0.163942) (xy -3.748252 0.165108) + (xy -3.792179 0.168468) (xy -3.820625 0.174235) (xy -3.829844 0.178163) (xy -3.857719 0.189182) + (xy -3.889157 0.195806) (xy -3.917426 0.202312) (xy -3.938683 0.213073) (xy -3.939398 0.213695) + (xy -3.955238 0.222415) (xy -3.966532 0.21653) (xy -3.976665 0.212708) (xy -3.985766 0.226003) (xy -3.987799 0.231073) + (xy -4.003518 0.253845) (xy -4.027624 0.27251) (xy -4.027966 0.272688) (xy -4.048567 0.286511) (xy -4.054027 0.301766) + (xy -4.052393 0.311769) (xy -4.051818 0.332195) (xy -4.057405 0.341908) (xy -4.063654 0.354222) + (xy -4.062114 0.358633) (xy -4.064108 0.371712) (xy -4.077257 0.388492) (xy -4.091772 0.409181) + (xy -4.093241 0.425167) (xy -4.09211 0.446244) (xy -4.096881 0.473274) (xy -4.10094 0.498166) (xy -4.225611 0.498166) + (xy -4.226195 0.493483) (xy -4.226719 0.475878) (xy -4.227457 0.441746) (xy -4.229398 0.417055) + (xy -4.232133 0.40684) (xy -4.232332 0.406797) (xy -4.255686 0.415508) (xy -4.272269 0.436803) (xy -4.276328 0.455391) + (xy -4.282999 0.482083) (xy -4.300298 0.513139) (xy -4.306094 0.520898) (xy -4.323694 0.54557) (xy -4.334375 0.565411) + (xy -4.335859 0.57131) (xy -4.343093 0.587217) (xy -4.353223 0.59723) (xy -4.369881 0.615331) (xy -4.385041 0.639961) + (xy -4.399629 0.666407) (xy -4.413465 0.686649) (xy -4.424914 0.709371) (xy -4.4297 0.731297) (xy -4.436679 0.774709) + (xy -4.449203 0.816352) (xy -4.465024 0.850266) (xy -4.481893 0.87049) (xy -4.482321 0.870776) (xy -4.4973 0.88397) + (xy -4.495878 0.896072) (xy -4.493287 0.899523) (xy -4.486785 0.917596) (xy -4.492423 0.935475) + (xy -4.509087 0.977597) (xy -4.521437 1.023623) (xy -4.526549 1.061643) (xy -4.529634 1.08471) (xy -4.535817 1.097307) + (xy -4.542842 1.111208) (xy -4.543528 1.132936) (xy -4.669173 1.132936) (xy -4.669186 1.108139) + (xy -4.67273 1.080146) (xy -4.674526 1.073865) (xy -4.676855 1.045041) (xy -4.669109 1.009373) (xy -4.660248 0.974827) + (xy -4.655366 0.941703) (xy -4.655068 0.935119) (xy -4.651818 0.898591) (xy -4.643924 0.873228) + (xy -4.632724 0.863225) (xy -4.632138 0.863203) (xy -4.61503 0.856649) (xy -4.603908 0.842151) (xy -4.604176 0.827453) + (xy -4.605793 0.825441) (xy -4.609704 0.812214) (xy -4.604204 0.788043) (xy -4.588661 0.750976) + (xy -4.568762 0.71109) (xy -4.558239 0.68502) (xy -4.554141 0.663962) (xy -4.550168 0.648275) (xy -4.545007 0.644922) + (xy -4.536926 0.636326) (xy -4.529412 0.61536) (xy -4.528791 0.612676) (xy -4.518379 0.586143) (xy -4.503258 0.567507) + (xy -4.503198 0.567465) (xy -4.488399 0.551356) (xy -4.484687 0.54018) (xy -4.479148 0.527341) (xy -4.474766 0.525859) + (xy -4.465326 0.517996) (xy -4.464514 0.513457) (xy -4.457656 0.495646) (xy -4.442203 0.475322) + (xy -4.42471 0.460048) (xy -4.415063 0.456406) (xy -4.407752 0.449225) (xy -4.409306 0.440467) (xy -4.40591 0.421953) + (xy -4.384677 0.39645) (xy -4.380602 0.392634) (xy -4.360107 0.372428) (xy -4.347592 0.357328) (xy -4.345781 0.35331) + (xy -4.33945 0.335959) (xy -4.323643 0.31207) (xy -4.30314 0.287337) (xy -4.282721 0.267453) (xy -4.267165 0.258112) + (xy -4.265741 0.257969) (xy -4.252157 0.2545) (xy -4.250824 0.240405) (xy -4.252548 0.232615) (xy -4.252314 0.207164) + (xy -4.236743 0.183663) (xy -4.214574 0.160066) (xy -4.252508 0.15295) (xy -4.291215 0.151125) (xy -4.332873 0.157193) + (xy -4.33464 0.157655) (xy -4.36429 0.164033) (xy -4.380413 0.162843) (xy -4.38605 0.157809) (xy -4.40105 0.150771) + (xy -4.41913 0.152635) (xy -4.437969 0.154395) (xy -4.445 0.14971) (xy -4.453385 0.145409) (xy -4.476499 0.14986) + (xy -4.477246 0.150085) (xy -4.501606 0.15503) (xy -4.539231 0.159899) (xy -4.583554 0.163904) (xy -4.602768 0.16515) + (xy -4.656641 0.168704) (xy -4.693843 0.173134) (xy -4.71754 0.17981) (xy -4.730897 0.190106) (xy -4.737082 0.205395) + (xy -4.739114 0.224248) (xy -4.741139 0.255517) (xy -4.744167 0.296283) (xy -4.746687 0.327422) + (xy -4.750424 0.372044) (xy -4.754247 0.418245) (xy -4.756376 0.444311) (xy -4.76162 0.479031) (xy -4.770212 0.508703) + (xy -4.775382 0.519237) (xy -4.784465 0.538094) (xy -4.782872 0.55728) (xy -4.776783 0.573544) (xy -4.768963 0.596405) + (xy -4.771638 0.61094) (xy -4.783552 0.624074) (xy -4.796054 0.640726) (xy -4.792976 0.649444) (xy -4.786597 0.663112) + (xy -4.787618 0.677776) (xy -4.792333 0.703015) (xy -4.796263 0.733659) (xy -4.796318 0.734219) + (xy -4.803998 0.790721) (xy -4.814654 0.836106) (xy -4.827284 0.866197) (xy -4.8284 0.867891) (xy -4.838013 0.891733) + (xy -4.841875 0.920293) (xy -4.846587 0.947452) (xy -4.857328 0.959053) (xy -4.867946 0.971605) + (xy -4.866914 0.980272) (xy -4.867153 0.996877) (xy -4.871556 1.002057) (xy -4.879912 1.015576) + (xy -4.88598 1.039622) (xy -4.886251 1.041628) (xy -4.891701 1.065876) (xy -4.899294 1.080132) (xy -4.89991 1.080575) + (xy -4.906849 1.093126) (xy -4.913712 1.118319) (xy -4.916443 1.133574) (xy -4.922204 1.160994) + (xy -4.928957 1.177988) (xy -4.932298 1.180703) (xy -4.939411 1.189036) (xy -4.941094 1.200858) + (xy -4.947249 1.219809) (xy -4.955283 1.226458) (xy -4.967684 1.238757) (xy -4.979286 1.260937) + (xy -4.986463 1.284263) (xy -4.985733 1.299781) (xy -4.988288 1.311468) (xy -4.995773 1.3197) (xy -5.006403 1.337856) + (xy -5.010767 1.362992) (xy -5.017231 1.393887) (xy -5.030391 1.418828) (xy -5.044473 1.443669) + (xy -5.050014 1.465957) (xy -5.055853 1.483986) (xy -5.065117 1.488281) (xy -5.077238 1.496553) + (xy -5.08 1.508125) (xy -5.084523 1.524242) (xy -5.090812 1.527969) (xy -5.105794 1.535738) (xy -5.12285 1.553996) + (xy -5.135831 1.575173) (xy -5.139201 1.587579) (xy -5.144388 1.606661) (xy -5.157017 1.634884) + (xy -5.173504 1.665646) (xy -5.190266 1.692341) (xy -5.203717 1.708366) (xy -5.205385 1.709536) + (xy -5.21744 1.721446) (xy -5.218906 1.726287) (xy -5.225074 1.738436) (xy -5.240578 1.758527) (xy -5.248205 1.767181) + (xy -5.267208 1.791802) (xy -5.279045 1.814294) (xy -5.280451 1.819683) (xy -5.292167 1.838733) + (xy -5.312289 1.85023) (xy -5.336379 1.862465) (xy -5.362248 1.881041) (xy -5.384193 1.901147) (xy -5.396515 1.917972) + (xy -5.3975 1.922134) (xy -5.404826 1.936229) (xy -5.421977 1.953964) (xy -5.441711 1.96885) (xy -5.455899 1.974453) + (xy -5.473283 1.980945) (xy -5.487735 1.995542) (xy -5.492767 2.01093) (xy -5.49149 2.014573) (xy -5.493209 2.028246) + (xy -5.507442 2.045495) (xy -5.52865 2.061658) (xy -5.551295 2.072074) (xy -5.561722 2.073672) (xy -5.580122 2.077133) + (xy -5.586016 2.083594) (xy -5.578216 2.092875) (xy -5.573968 2.093516) (xy -5.567965 2.097456) + (xy -5.574607 2.106601) (xy -5.588969 2.116935) (xy -5.606122 2.124445) (xy -5.613643 2.125848) + (xy -5.627765 2.129652) (xy -5.624461 2.139644) (xy -5.623725 2.140547) (xy -5.619927 2.152431) + (xy -5.629071 2.166113) (xy -5.653073 2.183453) (xy -5.688848 2.203659) (xy -5.713681 2.220329) + (xy -5.729751 2.237537) (xy -5.732025 2.242557) (xy -5.744618 2.258161) (xy -5.765169 2.267271) + (xy -5.787607 2.277539) (xy -5.799231 2.291624) (xy -5.811143 2.305088) (xy -5.824486 2.30466) (xy -5.84064 2.305598) + (xy -5.843984 2.315151) (xy -5.853367 2.328623) (xy -5.878152 2.337151) (xy -5.904167 2.346184) + (xy -5.914889 2.362197) (xy -5.915359 2.364822) (xy -5.927234 2.384415) (xy -5.943203 2.391108) + (xy -5.96953 2.400448) (xy -5.995216 2.414587) (xy -6.018611 2.425641) (xy -6.037906 2.427079) (xy -6.038555 2.426851) + (xy -6.059587 2.425728) (xy -6.089509 2.431786) (xy -6.121607 2.442648) (xy -6.14917 2.455939) (xy -6.165483 2.469282) + (xy -6.16701 2.472326) (xy -6.179195 2.487605) (xy -6.196136 2.488832) (xy -6.206431 2.479987) (xy -6.218329 2.475307) + (xy -6.224379 2.478591) (xy -6.243764 2.487856) (xy -6.26923 2.493602) (xy -6.292577 2.494688) (xy -6.305608 2.489974) + (xy -6.305652 2.489904) (xy -6.317855 2.485208) (xy -6.323267 2.487304) (xy -6.339988 2.492383) + (xy -6.367357 2.496213) (xy -6.376085 2.496859) (xy -6.401842 2.497384) (xy -6.412526 2.493299) + (xy -6.412786 2.482185) (xy -6.41183 2.478266) (xy -6.410562 2.463294) (xy -6.420583 2.462786) (xy -6.422748 2.463574) + (xy -6.442651 2.461721) (xy -6.456589 2.45152) (xy -6.465019 2.445742) (xy -6.399609 2.445742) (xy -6.394648 2.450703) + (xy -6.389687 2.445742) (xy -6.394648 2.440781) (xy -6.399609 2.445742) (xy -6.465019 2.445742) + (xy -6.478862 2.436254) (xy -6.505897 2.426348) (xy -6.53638 2.411689) (xy -6.559944 2.383024) (xy -6.574479 2.36076) + (xy -6.546005 2.36076) (xy -6.544648 2.361406) (xy -6.535593 2.354421) (xy -6.533555 2.351484) (xy -6.531026 2.342209) + (xy -6.532384 2.341563) (xy -6.541438 2.348547) (xy -6.543477 2.351484) (xy -6.546005 2.36076) (xy -6.574479 2.36076) + (xy -6.575582 2.359071) (xy -6.588043 2.343042) (xy -6.590733 2.340574) (xy -6.607514 2.319395) + (xy -6.610427 2.311211) (xy -6.578203 2.311211) (xy -6.571001 2.321315) (xy -6.568281 2.321719) + (xy -6.558617 2.318334) (xy -6.558359 2.317343) (xy -6.558839 2.316758) (xy -6.478984 2.316758) + (xy -6.474023 2.321719) (xy -6.469062 2.316758) (xy -6.474023 2.311797) (xy -6.478984 2.316758) + (xy -6.558839 2.316758) (xy -6.565312 2.308872) (xy -6.568281 2.306836) (xy -6.577424 2.307623) + (xy -6.578203 2.311211) (xy -6.610427 2.311211) (xy -6.61908 2.286905) (xy -6.620932 2.268079) (xy -6.583596 2.268079) + (xy -6.578241 2.272109) (xy -6.565916 2.264155) (xy -6.561783 2.256799) (xy -6.559091 2.239574) + (xy -6.566134 2.237266) (xy -6.577748 2.251416) (xy -6.583596 2.268079) (xy -6.620932 2.268079) + (xy -6.622564 2.251498) (xy -6.62235 2.248022) (xy -6.623482 2.219526) (xy -6.632246 2.207617) (xy -6.588125 2.207617) + (xy -6.583164 2.212578) (xy -6.578203 2.207617) (xy -6.583164 2.202656) (xy -6.588125 2.207617) + (xy -6.632246 2.207617) (xy -6.633381 2.206074) (xy -6.634736 2.205491) (xy -6.643532 2.197861) + (xy -6.645252 2.187773) (xy -6.598047 2.187773) (xy -6.593086 2.192734) (xy -6.588125 2.187773) + (xy -6.593086 2.182813) (xy -6.598047 2.187773) (xy -6.645252 2.187773) (xy -6.64642 2.180927) (xy -6.644173 2.149802) + (xy -6.643893 2.147392) (xy -6.641895 2.114612) (xy -6.644684 2.095232) (xy -6.647684 2.091853) + (xy -6.652779 2.080463) (xy -6.649216 2.058783) (xy -6.64578 2.036051) (xy -6.643474 1.99954) (xy -6.643294 1.990435) + (xy -6.522495 1.990435) (xy -6.519812 2.00869) (xy -6.513546 2.016812) (xy -6.505029 2.02831) (xy -6.501216 2.052769) + (xy -6.501204 2.086473) (xy -6.501359 2.120485) (xy -6.499703 2.14672) (xy -6.497163 2.158008) (xy -6.474024 2.198189) + (xy -6.458994 2.229442) (xy -6.453475 2.248701) (xy -6.454152 2.25222) (xy -6.452576 2.264404) (xy -6.449625 2.266897) + (xy -6.443608 2.280107) (xy -6.443177 2.302108) (xy -6.443242 2.322338) (xy -6.43347 2.332316) (xy -6.413167 2.337505) + (xy -6.389435 2.345826) (xy -6.379781 2.357652) (xy -6.379766 2.358135) (xy -6.384871 2.367526) + (xy -6.389687 2.366367) (xy -6.39883 2.367154) (xy -6.399609 2.370743) (xy -6.393457 2.380514) (xy -6.378592 2.376719) + (xy -6.368072 2.368429) (xy -6.34931 2.359826) (xy -6.319787 2.35494) (xy -6.288034 2.354249) (xy -6.262583 2.358228) + (xy -6.254884 2.362119) (xy -6.244295 2.364017) (xy -6.2431 2.361406) (xy -6.221016 2.361406) (xy -6.213465 2.37104) + (xy -6.211094 2.371328) (xy -6.20146 2.363778) (xy -6.201172 2.361406) (xy -6.208722 2.351773) (xy -6.211094 2.351484) + (xy -6.220727 2.359035) (xy -6.221016 2.361406) (xy -6.2431 2.361406) (xy -6.237386 2.348916) (xy -6.231895 2.335644) + (xy -6.22046 2.328761) (xy -6.197725 2.326237) (xy -6.176854 2.325971) (xy -6.144191 2.323902) (xy -6.118996 2.318589) + (xy -6.110032 2.313923) (xy -6.091353 2.304639) (xy -6.072206 2.301875) (xy -6.044878 2.293116) + (xy -6.013596 2.268323) (xy -6.012179 2.266889) (xy -5.965123 2.226613) (xy -5.921867 2.205806) + (xy -5.89895 2.202656) (xy -5.872762 2.195304) (xy -5.857 2.183321) (xy -5.841782 2.1706) (xy -5.832085 2.169152) + (xy -5.82344 2.164382) (xy -5.812183 2.146143) (xy -5.808917 2.138878) (xy -5.795276 2.114235) (xy -5.778753 2.104364) + (xy -5.768376 2.103438) (xy -5.749848 2.100038) (xy -5.748069 2.089297) (xy -5.743339 2.07497) (xy -5.719526 2.058424) + (xy -5.714677 2.05595) (xy -5.692495 2.043268) (xy -5.681535 2.033535) (xy -5.681513 2.031091) (xy -5.678658 2.022413) + (xy -5.666357 2.012441) (xy -5.650309 1.99795) (xy -5.645547 1.987204) (xy -5.637546 1.974738) (xy -5.618056 1.960782) + (xy -5.615781 1.95957) (xy -5.595514 1.945602) (xy -5.586099 1.93224) (xy -5.586016 1.931226) (xy -5.578163 1.91711) + (xy -5.57528 1.914922) (xy -5.437187 1.914922) (xy -5.433557 1.923089) (xy -5.430573 1.921536) (xy -5.429385 1.909762) + (xy -5.430573 1.908307) (xy -5.436471 1.909669) (xy -5.437187 1.914922) (xy -5.57528 1.914922) (xy -5.563691 1.906125) + (xy -5.543825 1.888827) (xy -5.526309 1.863593) (xy -5.525644 1.86228) (xy -5.507991 1.836853) (xy -5.486866 1.818845) + (xy -5.485956 1.818364) (xy -5.46923 1.804371) (xy -5.464938 1.790946) (xy -5.459495 1.775341) (xy -5.450055 1.768942) + (xy -5.43115 1.756172) (xy -5.298281 1.756172) (xy -5.292035 1.765404) (xy -5.273964 1.759495) (xy -5.268516 1.756172) + (xy -5.260724 1.748934) (xy -5.270578 1.746512) (xy -5.275957 1.746402) (xy -5.293377 1.750018) + (xy -5.298281 1.756172) (xy -5.43115 1.756172) (xy -5.421505 1.749657) (xy -5.389446 1.713263) (xy -5.355413 1.661844) + (xy -5.320942 1.597483) (xy -5.315612 1.586386) (xy -5.300832 1.559893) (xy -5.28605 1.540341) (xy -5.283582 1.538043) + (xy -5.270863 1.520979) (xy -5.268516 1.51156) (xy -5.26055 1.495894) (xy -5.254528 1.492213) (xy -5.241545 1.479241) + (xy -5.234432 1.462506) (xy -5.222529 1.436522) (xy -5.208732 1.418576) (xy -5.19397 1.397985) (xy -5.18892 1.381621) + (xy -5.1829 1.361721) (xy -5.169297 1.339453) (xy -5.155518 1.318271) (xy -5.153594 1.312995) (xy -5.136224 1.312995) + (xy -5.134862 1.318893) (xy -5.129609 1.319609) (xy -5.121443 1.315979) (xy -5.122995 1.312995) + (xy -5.13477 1.311807) (xy -5.136224 1.312995) (xy -5.153594 1.312995) (xy -5.149674 1.302246) (xy -5.141668 1.290729) + (xy -5.137051 1.289837) (xy -5.127505 1.28126) (xy -5.123082 1.262856) (xy -5.118245 1.241717) (xy -5.110266 1.232133) + (xy -5.104362 1.224788) (xy -5.110824 1.214155) (xy -5.116764 1.200224) (xy -5.105639 1.187501) + (xy -5.095283 1.176575) (xy -5.087608 1.158648) (xy -5.081309 1.129382) (xy -5.075388 1.086934) + (xy -5.067486 1.061621) (xy -5.055452 1.045719) (xy -5.045773 1.033361) (xy -5.049604 1.027303) + (xy -5.052622 1.015452) (xy -5.048799 1.002109) (xy -5.020469 1.002109) (xy -5.012918 1.011743) + (xy -5.010547 1.012031) (xy -5.000913 1.004481) (xy -5.000625 1.002109) (xy -5.008175 0.992476) + (xy -5.010547 0.992188) (xy -5.02018 0.999738) (xy -5.020469 1.002109) (xy -5.048799 1.002109) (xy -5.046904 0.995497) + (xy -5.03581 0.975065) (xy -5.022699 0.961783) (xy -5.020264 0.9607) (xy -5.013016 0.949497) (xy -5.007943 0.92592) + (xy -5.007193 0.917683) (xy -5.002294 0.888969) (xy -4.991336 0.876046) (xy -4.98735 0.874846) (xy -4.974921 0.866041) + (xy -4.976076 0.852474) (xy -4.977076 0.837198) (xy -4.972618 0.833438) (xy -4.967939 0.824448) + (xy -4.966787 0.800728) (xy -4.967978 0.781348) (xy -4.971374 0.743003) (xy -4.972839 0.716193) + (xy -4.972397 0.692702) (xy -4.970073 0.664318) (xy -4.968383 0.647402) (xy -4.966029 0.617044) + (xy -4.967873 0.601488) (xy -4.97528 0.595897) (xy -4.983074 0.595313) (xy -4.996662 0.592998) (xy -4.992894 0.583149) + (xy -4.990703 0.58043) (xy -4.974228 0.567842) (xy -4.965472 0.565547) (xy -4.954873 0.556916) (xy -4.94627 0.535879) + (xy -4.945676 0.533301) (xy -4.939421 0.508483) (xy -4.933771 0.492656) (xy -4.933563 0.492291) + (xy -4.93143 0.479536) (xy -4.929347 0.451009) (xy -4.927537 0.410782) (xy -4.926225 0.362926) (xy -4.926115 0.357032) + (xy -4.924752 0.30829) (xy -4.922655 0.266449) (xy -4.920098 0.235671) (xy -4.917355 0.220121) (xy -4.917058 0.219526) + (xy -4.915587 0.20247) (xy -4.91934 0.192281) (xy -4.929275 0.182514) (xy -4.935345 0.187241) (xy -4.948434 0.19352) + (xy -4.974577 0.197583) (xy -4.995423 0.198438) (xy -5.030724 0.200763) (xy -5.050319 0.20734) (xy -5.053072 0.21084) + (xy -5.060071 0.218243) (xy -5.075141 0.212815) (xy -5.078569 0.21084) (xy -5.102645 0.199726) (xy -5.114412 0.202898) + (xy -5.116105 0.215801) (xy -5.120522 0.228644) (xy -5.139277 0.233072) (xy -5.144492 0.233164) + (xy -5.168297 0.236958) (xy -5.182192 0.245566) (xy -5.197214 0.254873) (xy -5.216919 0.25803) (xy -5.245471 0.262796) + (xy -5.272363 0.273034) (xy -5.295493 0.282932) (xy -5.311749 0.286182) (xy -5.312051 0.286139) + (xy -5.33307 0.289953) (xy -5.364894 0.304729) (xy -5.403406 0.328351) (xy -5.427266 0.345332) (xy -5.457353 0.365494) + (xy -5.480631 0.374042) (xy -5.504157 0.373554) (xy -5.504382 0.373518) (xy -5.524669 0.372128) + (xy -5.532153 0.375538) (xy -5.531777 0.376495) (xy -5.532216 0.38761) (xy -5.548403 0.398846) (xy -5.58173 0.410882) + (xy -5.625683 0.42252) (xy -5.663752 0.433486) (xy -5.695135 0.445792) (xy -5.713818 0.457032) (xy -5.71498 0.458265) + (xy -5.735301 0.4739) (xy -5.749727 0.478964) (xy -5.777228 0.490519) (xy -5.811039 0.516754) (xy -5.837264 0.543223) + (xy -5.856924 0.559328) (xy -5.873886 0.565547) (xy -5.89081 0.572229) (xy -5.912819 0.588878) (xy -5.919225 0.595037) + (xy -5.939016 0.613503) (xy -5.953444 0.623951) (xy -5.95602 0.624803) (xy -5.970795 0.632754) (xy -5.991126 0.652548) + (xy -6.012076 0.679186) (xy -6.016936 0.686491) (xy -6.033604 0.704563) (xy -6.048132 0.707754) + (xy -6.060374 0.709588) (xy -6.062266 0.715445) (xy -6.068668 0.731271) (xy -6.084117 0.751274) + (xy -6.08459 0.751769) (xy -6.108914 0.77696) (xy -6.129238 0.797904) (xy -6.144968 0.818696) (xy -6.151562 0.836628) + (xy -6.151562 0.836707) (xy -6.159473 0.853632) (xy -6.171289 0.86314) (xy -6.188438 0.880386) (xy -6.197663 0.900697) + (xy -6.211389 0.937131) (xy -6.230416 0.962423) (xy -6.251507 0.972328) (xy -6.252357 0.972344) + (xy -6.266979 0.977568) (xy -6.269214 0.984746) (xy -6.268956 1.008046) (xy -6.27377 1.036046) (xy -6.281754 1.061865) + (xy -6.291002 1.078623) (xy -6.29599 1.081484) (xy -6.305161 1.088301) (xy -6.30393 1.096494) (xy -6.304572 1.116502) + (xy -6.309116 1.124692) (xy -6.315303 1.13913) (xy -6.313495 1.144448) (xy -6.314827 1.155097) (xy -6.326719 1.171626) + (xy -6.343695 1.188223) (xy -6.360279 1.19908) (xy -6.366364 1.200547) (xy -6.374763 1.207457) (xy -6.373443 1.229675) + (xy -6.373316 1.230313) (xy -6.371429 1.251865) (xy -6.378721 1.259712) (xy -6.382901 1.260078) + (xy -6.400995 1.266684) (xy -6.405612 1.271686) (xy -6.406102 1.279203) (xy -6.396995 1.277234) + (xy -6.387074 1.276301) (xy -6.384432 1.287032) (xy -6.386775 1.307794) (xy -6.394708 1.343551) + (xy -6.405899 1.377872) (xy -6.415255 1.411667) (xy -6.419438 1.44793) (xy -6.419453 1.449805) (xy -6.421718 1.474206) + (xy -6.427357 1.487476) (xy -6.429375 1.488281) (xy -6.437593 1.496539) (xy -6.439297 1.506991) + (xy -6.443788 1.528986) (xy -6.454119 1.55412) (xy -6.467158 1.590567) (xy -6.466205 1.620452) (xy -6.458075 1.634206) + (xy -6.454378 1.645399) (xy -6.468087 1.656431) (xy -6.483329 1.670728) (xy -6.482959 1.692077) + (xy -6.4815 1.710768) (xy -6.491608 1.716463) (xy -6.492792 1.716484) (xy -6.505895 1.723594) (xy -6.507904 1.738619) + (xy -6.498346 1.751509) (xy -6.493671 1.763346) (xy -6.497053 1.769458) (xy -6.500898 1.78397) (xy -6.503561 1.813188) + (xy -6.504669 1.851987) (xy -6.504536 1.872754) (xy -6.504502 1.912664) (xy -6.505757 1.943802) + (xy -6.508057 1.961806) (xy -6.509633 1.964531) (xy -6.518868 1.972576) (xy -6.522495 1.990435) + (xy -6.643294 1.990435) (xy -6.642597 1.955298) (xy -6.642833 1.929805) (xy -6.643141 1.886793) + (xy -6.642343 1.851035) (xy -6.640605 1.827307) (xy -6.639089 1.820664) (xy -6.63259 1.805825) (xy -6.628196 1.78496) + (xy -6.625213 1.753306) (xy -6.623153 1.711523) (xy -6.62037 1.672503) (xy -6.615761 1.638289) (xy -6.613051 1.627188) + (xy -6.588125 1.627188) (xy -6.57979 1.635171) (xy -6.567696 1.637109) (xy -6.553977 1.63329) (xy -6.553398 1.627188) + (xy -6.567754 1.618058) (xy -6.573828 1.617266) (xy -6.586651 1.622813) (xy -6.588125 1.627188) + (xy -6.613051 1.627188) (xy -6.610628 1.617266) (xy -6.604152 1.597705) (xy -6.561917 1.597705) + (xy -6.556226 1.608662) (xy -6.539368 1.612018) (xy -6.531196 1.5986) (xy -6.531042 1.592461) (xy -6.498828 1.592461) + (xy -6.493867 1.597422) (xy -6.488906 1.592461) (xy -6.493867 1.5875) (xy -6.498828 1.592461) (xy -6.531042 1.592461) + (xy -6.530975 1.589785) (xy -6.529945 1.569181) (xy -6.529069 1.562695) (xy -6.498828 1.562695) + (xy -6.493867 1.567656) (xy -6.488906 1.562695) (xy -6.493867 1.557734) (xy -6.498828 1.562695) + (xy -6.529069 1.562695) (xy -6.525985 1.539877) (xy -6.525842 1.539062) (xy -6.498828 1.539062) + (xy -6.492715 1.544441) (xy -6.488906 1.542852) (xy -6.479355 1.529708) (xy -6.478984 1.526798) + (xy -6.485098 1.521418) (xy -6.488906 1.523008) (xy -6.498458 1.536151) (xy -6.498828 1.539062) + (xy -6.525842 1.539062) (xy -6.524764 1.53293) (xy -6.520599 1.508463) (xy -6.521414 1.501142) (xy -6.528438 1.508628) + (xy -6.533719 1.515881) (xy -6.550211 1.544868) (xy -6.560095 1.57414) (xy -6.561917 1.597705) (xy -6.604152 1.597705) + (xy -6.602591 1.59299) (xy -6.593214 1.559284) (xy -6.58876 1.54133) (xy -6.577571 1.506147) (xy -6.572066 1.498789) + (xy -6.488906 1.498789) (xy -6.485718 1.507804) (xy -6.478401 1.499939) (xy -6.475199 1.492727) + (xy -6.474505 1.482485) (xy -6.479098 1.483391) (xy -6.488554 1.496094) (xy -6.488906 1.498789) + (xy -6.572066 1.498789) (xy -6.564144 1.488201) (xy -6.5576 1.485208) (xy -6.543109 1.476637) (xy -6.53836 1.465407) + (xy -6.54135 1.462713) (xy -6.472988 1.462713) (xy -6.467344 1.468438) (xy -6.46114 1.461246) (xy -6.462483 1.454196) + (xy -6.463169 1.439974) (xy -6.460156 1.436832) (xy -6.453385 1.425926) (xy -6.446918 1.403222) + (xy -6.4461 1.398984) (xy -6.442353 1.376648) (xy -6.4435 1.372306) (xy -6.450892 1.384427) (xy -6.453985 1.390052) + (xy -6.466219 1.4179) (xy -6.47288 1.444035) (xy -6.472988 1.462713) (xy -6.54135 1.462713) (xy -6.545814 1.458692) + (xy -6.548437 1.458516) (xy -6.558071 1.450965) (xy -6.558359 1.448594) (xy -6.550365 1.439695) + (xy -6.544062 1.438672) (xy -6.526448 1.431521) (xy -6.522591 1.427064) (xy -6.522113 1.419583) + (xy -6.531609 1.42167) (xy -6.548308 1.422886) (xy -6.554238 1.409066) (xy -6.549529 1.37948) (xy -6.542989 1.357828) + (xy -6.532864 1.334492) (xy -6.439297 1.334492) (xy -6.434336 1.339453) (xy -6.429375 1.334492) + (xy -6.434336 1.329531) (xy -6.439297 1.334492) (xy -6.532864 1.334492) (xy -6.527318 1.321708) + (xy -6.520808 1.314648) (xy -6.429375 1.314648) (xy -6.424414 1.319609) (xy -6.419453 1.314648) + (xy -6.409531 1.314648) (xy -6.40457 1.319609) (xy -6.399609 1.314648) (xy -6.40457 1.309688) (xy -6.409531 1.314648) + (xy -6.419453 1.314648) (xy -6.424414 1.309688) (xy -6.429375 1.314648) (xy -6.520808 1.314648) + (xy -6.510596 1.303574) (xy -6.506978 1.302177) (xy -6.493234 1.292619) (xy -6.494432 1.281098) + (xy -6.505044 1.248528) (xy -6.504896 1.241242) (xy -6.486827 1.241242) (xy -6.483636 1.25717) (xy -6.47714 1.269599) + (xy -6.473261 1.263478) (xy -6.471611 1.256821) (xy -6.470697 1.238787) (xy -6.473146 1.232843) + (xy -6.482599 1.230478) (xy -6.486827 1.241242) (xy -6.504896 1.241242) (xy -6.504508 1.222213) + (xy -6.494265 1.195023) (xy -6.480048 1.170873) (xy -6.466017 1.155687) (xy -6.463352 1.154238) + (xy -6.453059 1.140753) (xy -6.449219 1.115149) (xy -6.445992 1.090272) (xy -6.441367 1.086445) + (xy -6.389687 1.086445) (xy -6.384727 1.091406) (xy -6.379766 1.086445) (xy -6.384727 1.081484) + (xy -6.389687 1.086445) (xy -6.441367 1.086445) (xy -6.435477 1.081571) (xy -6.43375 1.081484) (xy -6.423475 1.076682) + (xy -6.424403 1.071581) (xy -6.421834 1.059203) (xy -6.407507 1.041869) (xy -6.404683 1.039335) + (xy -6.386003 1.016696) (xy -6.376575 0.992876) (xy -6.376502 0.992188) (xy -6.369515 0.963248) + (xy -6.356098 0.934579) (xy -6.339913 0.912397) (xy -6.324623 0.90292) (xy -6.323879 0.902891) (xy -6.312808 0.896616) + (xy -6.313705 0.888517) (xy -6.313099 0.868508) (xy -6.303208 0.842773) (xy -6.288299 0.820085) + (xy -6.275509 0.809976) (xy -6.265846 0.797612) (xy -6.26712 0.788573) (xy -6.265264 0.773718) (xy -6.252182 0.767225) + (xy -6.237473 0.760154) (xy -6.235704 0.754377) (xy -6.232348 0.743406) (xy -6.218132 0.726304) + (xy -6.215749 0.72401) (xy -6.19881 0.70315) (xy -6.191274 0.684089) (xy -6.19125 0.683281) (xy -6.182461 0.659471) + (xy -6.160128 0.637126) (xy -6.130303 0.62084) (xy -6.101486 0.615156) (xy -6.078014 0.61371) (xy -6.06873 0.606083) + (xy -6.068017 0.587346) (xy -6.068117 0.585863) (xy -6.063724 0.560586) (xy -5.923359 0.560586) + (xy -5.918398 0.565547) (xy -5.913437 0.560586) (xy -5.918398 0.555625) (xy -5.923359 0.560586) + (xy -6.063724 0.560586) (xy -6.063146 0.55726) (xy -6.048842 0.542252) (xy -6.024377 0.523658) (xy -6.007695 0.509032) + (xy -5.986264 0.492175) (xy -5.970488 0.483502) (xy -5.955761 0.471982) (xy -5.952982 0.464159) + (xy -5.949368 0.456474) (xy -5.93723 0.44563) (xy -5.914399 0.430183) (xy -5.87871 0.408686) (xy -5.827994 0.379696) + (xy -5.817116 0.373578) (xy -5.79596 0.359724) (xy -5.784915 0.348629) (xy -5.784453 0.346987) (xy -5.77569 0.340318) + (xy -5.7525 0.330168) (xy -5.719533 0.318523) (xy -5.71252 0.316296) (xy -5.669913 0.301568) (xy -5.628262 0.284812) + (xy -5.597585 0.270169) (xy -5.571083 0.256551) (xy -5.555128 0.252467) (xy -5.543364 0.257055) + (xy -5.537422 0.26201) (xy -5.518482 0.270054) (xy -5.503372 0.261187) (xy -5.496745 0.238328) (xy -5.496719 0.23649) + (xy -5.487754 0.217118) (xy -5.46438 0.203379) (xy -5.433464 0.198438) (xy -5.412539 0.19535) (xy -5.403253 0.189798) + (xy -5.391023 0.183604) (xy -5.366011 0.177658) (xy -5.350578 0.175338) (xy -5.309797 0.16891) (xy -5.267705 0.160226) + (xy -5.258594 0.157984) (xy -5.218922 0.150195) (xy -5.177313 0.145531) (xy -5.169297 0.145158) + (xy -5.141077 0.142912) (xy -5.127076 0.136491) (xy -5.121721 0.123141) (xy -5.121478 0.121543) + (xy -5.114826 0.109141) (xy -4.990703 0.109141) (xy -4.987073 0.117307) (xy -4.984089 0.115755) + (xy -4.982901 0.10398) (xy -4.984089 0.102526) (xy -4.989987 0.103888) (xy -4.990703 0.109141) (xy -5.114826 0.109141) + (xy -5.111315 0.102594) (xy -5.096673 0.09825) (xy -5.037731 0.094818) (xy -4.997178 0.09055) (xy -4.973574 0.085277) + (xy -4.968919 0.083081) (xy -4.948766 0.077561) (xy -4.93528 0.077697) (xy -4.911291 0.073415) (xy -4.896755 0.057542) + (xy -4.896119 0.036078) (xy -4.899402 0.029288) (xy -4.905717 0.001466) (xy -4.902407 -0.01225) + (xy -4.895133 -0.038553) (xy -4.887119 -0.07887) (xy -4.879264 -0.12744) (xy -4.872465 -0.178502) + (xy -4.867621 -0.226295) (xy -4.866438 -0.243086) (xy -4.863414 -0.286233) (xy -4.859204 -0.336417) + (xy -4.855794 -0.37207) (xy -4.851933 -0.414185) (xy -4.848999 -0.454608) (xy -4.847744 -0.481211) + (xy -4.845508 -0.509255) (xy -4.8411 -0.529069) (xy -4.839815 -0.531673) (xy -4.83471 -0.548004) + (xy -4.83098 -0.574741) (xy -4.830508 -0.581282) (xy -4.825351 -0.612945) (xy -4.815708 -0.63963) + (xy -4.814114 -0.642365) (xy -4.805499 -0.66466) (xy -4.805959 -0.680126) (xy -4.806359 -0.699259) + (xy -4.799429 -0.725391) (xy -4.798194 -0.728472) (xy -4.791009 -0.75396) (xy -4.791697 -0.772724) + (xy -4.792414 -0.774146) (xy -4.796646 -0.793789) (xy -4.795279 -0.800208) (xy -4.798444 -0.814477) + (xy -4.805493 -0.819292) (xy -4.815314 -0.830626) (xy -4.812361 -0.84515) (xy -4.798981 -0.853203) + (xy -4.797227 -0.853281) (xy -4.782531 -0.861637) (xy -4.776731 -0.882706) (xy -4.778996 -0.901084) + (xy -4.776321 -0.921352) (xy -4.762666 -0.932568) (xy -4.747838 -0.946452) (xy -4.746436 -0.957959) + (xy -4.744041 -0.974374) (xy -4.738528 -0.979523) (xy -4.73174 -0.986061) (xy -4.725903 -0.999133) + (xy -4.720148 -1.022123) (xy -4.713608 -1.058417) (xy -4.706436 -1.104547) (xy -4.699483 -1.130308) + (xy -4.689437 -1.146294) (xy -4.686973 -1.147776) (xy -4.6745 -1.160545) (xy -4.673203 -1.166882) + (xy -4.668115 -1.179398) (xy -4.664385 -1.180703) (xy -4.65696 -1.189376) (xy -4.650021 -1.210734) + (xy -4.649013 -1.215642) (xy -4.637924 -1.246253) (xy -4.618909 -1.277255) (xy -4.614521 -1.282614) + (xy -4.594782 -1.309035) (xy -4.580828 -1.334428) (xy -4.57899 -1.339453) (xy -4.544167 -1.420329) + (xy -4.491601 -1.492767) (xy -4.477881 -1.507379) (xy -4.450071 -1.53864) (xy -4.4315 -1.565394) + (xy -4.425156 -1.582681) (xy -4.416591 -1.601695) (xy -4.395839 -1.618273) (xy -4.370318 -1.626901) + (xy -4.365272 -1.627187) (xy -4.347133 -1.634955) (xy -4.328544 -1.652959) (xy -4.317003 -1.673253) + (xy -4.316016 -1.679397) (xy -4.311133 -1.701672) (xy -4.299002 -1.730207) (xy -4.2834 -1.757884) + (xy -4.268102 -1.777587) (xy -4.261375 -1.782377) (xy -4.246783 -1.795635) (xy -4.241311 -1.806698) + (xy -4.230176 -1.822293) (xy -4.221089 -1.825625) (xy -4.20832 -1.831201) (xy -4.206875 -1.835547) + (xy -4.199408 -1.845181) (xy -4.197067 -1.845469) (xy -4.17879 -1.853164) (xy -4.171182 -1.860352) + (xy -4.008437 -1.860352) (xy -4.003477 -1.855391) (xy -3.998516 -1.860352) (xy -4.003477 -1.865312) + (xy -4.008437 -1.860352) (xy -4.171182 -1.860352) (xy -4.159965 -1.87095) (xy -4.148305 -1.890873) + (xy -4.147344 -1.896722) (xy -4.139468 -1.913363) (xy -4.120482 -1.929715) (xy -4.120059 -1.929968) + (xy -4.09735 -1.948189) (xy -4.072823 -1.974686) (xy -4.064845 -1.985099) (xy -4.046736 -2.007898) + (xy -4.032192 -2.021926) (xy -4.027638 -2.024062) (xy -4.019158 -2.031991) (xy -4.018359 -2.037383) + (xy -4.010261 -2.049832) (xy -3.990002 -2.064868) (xy -3.981423 -2.069629) (xy -3.939018 -2.095331) + (xy -3.913732 -2.120835) (xy -3.906158 -2.135684) (xy -3.892259 -2.149985) (xy -3.879765 -2.153047) + (xy -3.863359 -2.159178) (xy -3.859609 -2.16793) (xy -3.853514 -2.181121) (xy -3.848288 -2.182812) + (xy -3.835889 -2.189469) (xy -3.814951 -2.206796) (xy -3.793573 -2.227461) (xy -3.759001 -2.257997) + (xy -3.731478 -2.271484) (xy -3.725519 -2.272109) (xy -3.706945 -2.275686) (xy -3.700859 -2.282507) + (xy -3.694207 -2.297041) (xy -3.678381 -2.312973) (xy -3.65958 -2.325726) (xy -3.644 -2.330724) + (xy -3.638883 -2.32827) (xy -3.629285 -2.324377) (xy -3.619433 -2.335022) (xy -3.617279 -2.341562) + (xy -3.403203 -2.341562) (xy -3.399573 -2.333396) (xy -3.396589 -2.334948) (xy -3.395401 -2.346723) + (xy -3.396589 -2.348177) (xy -3.402487 -2.346815) (xy -3.403203 -2.341562) (xy -3.617279 -2.341562) + (xy -3.612771 -2.355249) (xy -3.611714 -2.367365) (xy -3.603016 -2.3867) (xy -3.576501 -2.409505) + (xy -3.574195 -2.411016) (xy -3.413125 -2.411016) (xy -3.405575 -2.401382) (xy -3.403203 -2.401094) + (xy -3.39357 -2.408644) (xy -3.393281 -2.411016) (xy -3.400832 -2.420649) (xy -3.403203 -2.420937) + (xy -3.412837 -2.413387) (xy -3.413125 -2.411016) (xy -3.574195 -2.411016) (xy -3.561769 -2.419159) + (xy -3.533891 -2.435919) (xy -3.512857 -2.447516) (xy -3.504719 -2.451) (xy -3.495809 -2.459833) + (xy -3.490339 -2.471139) (xy -3.475609 -2.48694) (xy -3.462402 -2.490391) (xy -3.427277 -2.498732) + (xy -3.395314 -2.520085) (xy -3.385277 -2.531653) (xy -3.369911 -2.546262) (xy -3.359858 -2.549922) + (xy -3.346122 -2.557263) (xy -3.328751 -2.575261) (xy -3.326257 -2.578525) (xy -3.303943 -2.601052) + (xy -3.272252 -2.624237) (xy -3.237762 -2.644103) (xy -3.207052 -2.656675) (xy -3.193009 -2.659062) + (xy -3.180118 -2.663124) (xy -3.180016 -2.669073) (xy -3.183701 -2.690288) (xy -3.170941 -2.708672) + (xy -2.926953 -2.708672) (xy -2.923323 -2.700505) (xy -2.920339 -2.702057) (xy -2.919151 -2.713832) + (xy -2.920339 -2.715286) (xy -2.926237 -2.713924) (xy -2.926953 -2.708672) (xy -3.170941 -2.708672) + (xy -3.170638 -2.709109) (xy -3.143875 -2.721775) (xy -3.135979 -2.723442) (xy -3.097308 -2.737717) + (xy -2.803148 -2.737717) (xy -2.799672 -2.729552) (xy -2.796829 -2.727601) (xy -2.77782 -2.719388) + (xy -2.769307 -2.727161) (xy -2.768203 -2.738787) (xy -2.77057 -2.752562) (xy -2.781368 -2.751651) + (xy -2.789387 -2.747642) (xy -2.803148 -2.737717) (xy -3.097308 -2.737717) (xy -3.091465 -2.739874) + (xy -3.086523 -2.743398) (xy -2.877344 -2.743398) (xy -2.872383 -2.738437) (xy -2.867422 -2.743398) + (xy -2.872383 -2.748359) (xy -2.877344 -2.743398) (xy -3.086523 -2.743398) (xy -3.065651 -2.758281) + (xy -2.852539 -2.758281) (xy -2.851752 -2.749138) (xy -2.848164 -2.748359) (xy -2.83806 -2.755562) + (xy -2.837656 -2.758281) (xy -2.841041 -2.767945) (xy -2.842032 -2.768203) (xy -2.850503 -2.761251) + (xy -2.852539 -2.758281) (xy -3.065651 -2.758281) (xy -3.046669 -2.771816) (xy -2.805117 -2.771816) + (xy -2.794834 -2.773837) (xy -2.794411 -2.773998) (xy -2.780371 -2.786224) (xy -2.778125 -2.794069) + (xy -2.784763 -2.806562) (xy -2.789701 -2.807891) (xy -2.796372 -2.803908) (xy -2.794748 -2.801362) + (xy -2.794508 -2.78945) (xy -2.799458 -2.781291) (xy -2.805117 -2.771816) (xy -3.046669 -2.771816) + (xy -3.043614 -2.773994) (xy -3.006768 -2.810371) (xy -2.98603 -2.828493) (xy -2.967288 -2.837452) + (xy -2.965017 -2.837656) (xy -2.946952 -2.842774) (xy -2.941836 -2.847578) (xy -2.927532 -2.856587) + (xy -2.920698 -2.8575) (xy -2.901699 -2.864069) (xy -2.901639 -2.864115) (xy -2.874036 -2.864115) + (xy -2.872674 -2.858216) (xy -2.867422 -2.8575) (xy -2.859255 -2.86113) (xy -2.860807 -2.864115) + (xy -2.872582 -2.865302) (xy -2.874036 -2.864115) (xy -2.901639 -2.864115) (xy -2.890809 -2.872383) + (xy -2.870047 -2.88481) (xy -2.85746 -2.887266) (xy -2.839268 -2.895389) (xy -2.83348 -2.904636) + (xy -2.818216 -2.923105) (xy -2.786838 -2.939643) (xy -2.743073 -2.952311) (xy -2.740843 -2.952765) + (xy -2.716326 -2.962064) (xy -2.708672 -2.977737) (xy -2.708672 -2.977803) (xy -2.70214 -2.992588) + (xy -2.683867 -2.996406) (xy -2.665047 -3.000452) (xy -2.659185 -3.016454) (xy -2.659062 -3.021457) + (xy -2.651701 -3.044712) (xy -2.634394 -3.055886) (xy -2.610209 -3.066176) (xy -2.578898 -3.08083) + (xy -2.567421 -3.086492) (xy -2.53946 -3.097773) (xy -2.505901 -3.105184) (xy -2.461306 -3.109687) + (xy -2.426319 -3.111417) (xy -2.379898 -3.112747) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "e9301e83-42b7-460c-ba4c-b477b69bbc8d") + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "15f220c0-6de6-4097-aac0-b297b51824f8") + (at 89.408 128.807) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R3" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "546cfcbb-3bb0-440e-a192-b3aed97bebfe") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "330R" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "c76fdecd-40c1-4436-9ab3-0cb623f6e2be") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "db29eb84-803f-42b5-860a-ac308372a1b2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "46dd883c-f5aa-4e8d-b58a-95c17880bdd0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2bdd7bf0-ed7c-439d-b6ee-3c2e04485f0a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/6275bed6-4c22-4fb1-b1ab-55a16c4f8089") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "91e53b1d-b341-48c4-8f18-6c91159f6399") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4ae74683-04dc-44f3-bfc1-399b0595f99a") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "536007c3-ea4a-4a2e-bd44-a9d94a0e67b4") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "42397725-9b12-4a17-ab8c-431e3b999edf") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d4e9eb2a-860e-4af8-9c41-4dad21522c53") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "88c26116-73a6-4f4e-9a24-d482939daddf") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5cf60ec3-8939-4e47-8763-f7eee0a89f64") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "881b4813-421b-4501-b4e2-6c43b951984f") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9c39452d-e7db-421a-bf01-2ee9b9d25852") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "59adf24b-3a02-46ea-894f-725f471ba64d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "79fce90f-982b-4ff8-97a9-a5c1413b0a90") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "0bbdc966-9516-43d2-81fd-0b7c869baffb") + ) + (pad "2" smd roundrect + (at 1 0) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 16 "Net-(D4-K)") + (pintype "passive") + (uuid "98bac68a-61f5-4704-90ca-037e5c9c7926") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "2c68adab-e578-4e05-bdf4-bb1027eaf8de") + (at 96.0335 83.82) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C6" + (at 0 -1.68 0) + (layer "F.SilkS") + (uuid "8c7b01b0-efda-44d4-9c21-b9d1197ea833") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100nF" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "61731990-8166-4a2c-b22e-7e43fc2e7072") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d1cc56be-d4c8-4cfb-a65e-04dd80e87b9b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f50768c3-4170-46d9-88b3-fc0d03792f5b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ffea803f-dfd8-4f5a-975d-dd222cd7a79e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/c3b10890-2111-452a-82d7-375c5b6d04da") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "406795de-9da7-4ece-b04d-c90dabb9f3d5") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c3c0f9e3-0ea8-45ae-af3b-05b3601578f5") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "db2516c8-8f98-4e09-b314-cf733de75a75") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d51474cc-c136-464f-812d-d38780283a5f") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ec242067-24e6-4932-88a1-05d07d7929cd") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4bde44d5-d127-4a8f-a425-e258d2fd3120") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9c0b1774-2ecc-4e65-9982-cd992ee7d223") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bcdc0c52-d605-4401-985d-52325bf1591b") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8810d6cf-7edf-408c-ba47-5ba599fb4547") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ceed8db0-eeae-4fc5-9dae-3598b8de4006") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "544d9645-97d4-40f4-a780-bf8ca4562eb8") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 8 "VCC") + (pintype "passive") + (uuid "86f6783a-2207-4860-9901-9c8d92d210b4") + ) + (pad "2" smd roundrect + (at 1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "44a0ab20-1c87-47a2-ade0-a8128b87f271") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "2e0678c4-9ebe-480c-a93a-150e3de45400") + (at 94.996 129.032) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D4" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "29f6a054-722a-4bc1-ba26-1cb2a6d7a77e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "LED" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "8f57ee25-1a4b-408b-a338-b8af961ea653") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e6e479e4-0af6-45d7-aaf6-3a6dc12ee38b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3ba405c0-59ae-4c10-b487-02aaf3029c83") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ecf39d63-07b4-49b6-a663-94b172c95278") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/66080716-fd5d-4e45-b6db-1fee47dcf529") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -1.86 -0.96) + (end -1.86 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "caae87ef-5f39-4bee-bc35-9d503fac5899") + ) + (fp_line + (start -1.86 0.96) + (end 1 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6a069aba-6174-4e01-a52a-0d8abf82384e") + ) + (fp_line + (start 1 -0.96) + (end -1.86 -0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8a009bbd-6596-4f3d-bd47-243bdb9779e6") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6e728257-7151-4fd8-8619-4874ef9d4aa8") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "303bde5c-417d-45fc-9ce9-06318ca55e92") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5ab327c0-da80-406b-a956-c49529cbcca5") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e00dc5a9-1631-492f-8d3b-61af3b604353") + ) + (fp_line + (start -1 -0.3) + (end -1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "752a9440-6853-49fc-af84-e09e58baa3fc") + ) + (fp_line + (start -1 0.6) + (end 1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eb4162a0-3d3f-4c16-82b9-6dc25ab6be37") + ) + (fp_line + (start -0.7 -0.6) + (end -1 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f9bbd60-d6c4-46a9-8735-9e10406fe31d") + ) + (fp_line + (start 1 -0.6) + (end -0.7 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dc68e31a-9df0-4d7c-8efb-0d20f75217d1") + ) + (fp_line + (start 1 0.6) + (end 1 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "60a03fbd-b8ca-4f03-b421-adac80c693a6") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "be85b4cb-2e89-4ba1-af8b-e48e978aec09") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 16 "Net-(D4-K)") + (pinfunction "K") + (pintype "passive") + (uuid "b7950563-a2d4-4491-8330-d18ab854ee0f") + ) + (pad "2" smd roundrect + (at 1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 17 "TXD") + (pinfunction "A") + (pintype "passive") + (uuid "2b4f115d-802f-477f-a13d-2399951fab7d") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "2ef40e7c-4fb2-490c-bf7d-f2e787edf292") + (at 86.36 109.728 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C2" + (at 0 -1.68 180) + (layer "F.SilkS") + (uuid "775afb82-7382-4fd1-a530-edc5001839dd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "22pf" + (at 4.0855 6.252 180) + (layer "F.Fab") + (uuid "72ed1e77-6010-4072-82ab-ad27e53ac571") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b9b15db9-0836-457c-bbef-74dfb2aee1cb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4a727e5e-5315-4582-896b-baa4167fa8a9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c5c22f60-66e1-47b0-8a11-158ab662a0cb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8f413b75-5027-4f27-bdce-4dafc49741b1") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "db041fd4-b8b8-4577-98aa-bb7bdd6f4443") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fff29eab-215d-405f-99cd-38b54a0004db") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "64158c32-706d-46a5-8237-ff99bb89e9ce") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "04d2c0b0-6d31-46b4-baa4-efc3bb44c70a") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "70bd403e-2ff3-47cd-90f1-86dd88d8ec99") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3c19111d-6eff-47b4-a9ae-c356ac25df5a") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4be0655b-e7f8-4a43-89b3-d78b20fa9d76") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f4d12980-a012-407c-ae1a-13e3fc581637") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cc6e9396-adc2-4567-927e-cd40c35a6a91") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c52b1baa-807b-49c1-9a3c-8cc59b5005b4") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "f46dc19c-0cd6-4649-b9af-36f63cb29aed") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "ed7676a5-99ef-421e-af06-89928c21fe29") + ) + (pad "2" smd roundrect + (at 1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 3 "Net-(U1-XTAL2{slash}PB7)") + (pintype "passive") + (uuid "2da268a0-34f8-4156-acae-7b26370c0752") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_DIP:DIP-28_W7.62mm" + (layer "F.Cu") + (uuid "31ff21f3-a43f-47d6-9638-10cb8568c58c") + (at 75.184 132.08 180) + (descr "28-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils)") + (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil") + (property "Reference" "U1" + (at 3.81 -2.33 180) + (layer "F.SilkS") + (uuid "9226a2bf-1c37-445d-b42b-8ae6832bf1e9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "ATmega328-P" + (at 3.81 35.35 180) + (layer "F.Fab") + (uuid "6f45d8a6-6552-4f75-a5a2-b727cd5746d2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W7.62mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4fabeae1-d8ad-4aeb-b693-5e073cd22c8e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "76d79de8-27d7-4139-9220-d199490c3119") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, DIP-28" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b21cde0e-e70c-4c86-96d4-8cc0a8dd5234") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "DIP*W7.62mm*") + (path "/3c3ea045-f56f-4136-b5d2-e1fe4c503858") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start 6.46 34.35) + (end 6.46 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fa2fbe83-fe80-4151-af1b-9a582d04fe34") + ) + (fp_line + (start 6.46 -1.33) + (end 4.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a0d5c028-7e0a-4029-bf0e-008183eb2163") + ) + (fp_line + (start 2.81 -1.33) + (end 1.16 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "740fc970-1e1f-410c-85d1-bca03d862df0") + ) + (fp_line + (start 1.16 34.35) + (end 6.46 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ac0fd509-a095-4bb9-beba-a42209486c8d") + ) + (fp_line + (start 1.16 -1.33) + (end 1.16 34.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7402dbf8-2b07-4500-8f5c-4f2f438926d1") + ) + (fp_arc + (start 4.81 -1.33) + (mid 3.81 -0.33) + (end 2.81 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "cfa95358-df46-4737-bb5a-cd01498af4c5") + ) + (fp_line + (start 8.7 34.55) + (end 8.7 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cc4bde35-fb2d-4830-9b89-b3e4b3496939") + ) + (fp_line + (start 8.7 -1.55) + (end -1.1 -1.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "06d54fd9-76d4-4df2-a8b4-67d856957122") + ) + (fp_line + (start -1.1 34.55) + (end 8.7 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e4b0167a-f74e-4b36-8f80-3f10101c642e") + ) + (fp_line + (start -1.1 -1.55) + (end -1.1 34.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "af105f4f-9aa5-4d7b-8f7f-aa8edf5af5e5") + ) + (fp_line + (start 6.985 34.29) + (end 0.635 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "06f7437a-5fcb-4b9f-8f15-67f375af248c") + ) + (fp_line + (start 6.985 -1.27) + (end 6.985 34.29) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cdc50f71-1462-4281-b3e4-d84ed8e1f0a8") + ) + (fp_line + (start 1.635 -1.27) + (end 6.985 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d398dc40-2259-4abc-a6b0-23ba032658ae") + ) + (fp_line + (start 0.635 34.29) + (end 0.635 -0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "49dc674a-1c59-45da-9d45-d95f7f706f1b") + ) + (fp_line + (start 0.635 -0.27) + (end 1.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7aeb8c0e-2ac7-485d-9855-99e81836362e") + ) + (fp_text user "${REFERENCE}" + (at 3.81 16.51 180) + (layer "F.Fab") + (uuid "062e3a2e-5256-4563-9ab1-a6e5748a3a2b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 6 "RST") + (pinfunction "~{RESET}/PC6") + (pintype "bidirectional") + (uuid "f5410960-d936-4f3b-8d4c-f44660c03391") + ) + (pad "2" thru_hole oval + (at 0 2.54 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 18 "RXD") + (pinfunction "PD0") + (pintype "bidirectional") + (uuid "7c358043-c77a-4c31-b4ac-e6682258446d") + ) + (pad "3" thru_hole oval + (at 0 5.08 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 17 "TXD") + (pinfunction "PD1") + (pintype "bidirectional") + (uuid "69493c90-5984-4383-adf6-773b28fa1e8e") + ) + (pad "4" thru_hole oval + (at 0 7.62 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "D2") + (pinfunction "PD2") + (pintype "bidirectional") + (uuid "5978e51c-05c3-45da-b18a-e859eef7d8de") + ) + (pad "5" thru_hole oval + (at 0 10.16 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 37 "D3") + (pinfunction "PD3") + (pintype "bidirectional") + (uuid "ea42670e-b59d-4ec3-ab06-67880bd090eb") + ) + (pad "6" thru_hole oval + (at 0 12.7 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 36 "D4") + (pinfunction "PD4") + (pintype "bidirectional") + (uuid "00d72c2d-3027-4384-a4f3-b033d487a472") + ) + (pad "7" thru_hole oval + (at 0 15.24 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "VCC") + (pintype "power_in") + (uuid "0bc41346-20ea-4935-ac68-348f2e510528") + ) + (pad "8" thru_hole oval + (at 0 17.78 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "1f4c756f-88d3-47cb-9019-729d79ddd3a3") + ) + (pad "9" thru_hole oval + (at 0 20.32 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "Net-(U1-XTAL1{slash}PB6)") + (pinfunction "XTAL1/PB6") + (pintype "bidirectional") + (uuid "83ffa108-f134-45fa-b607-c900ebc804ba") + ) + (pad "10" thru_hole oval + (at 0 22.86 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "Net-(U1-XTAL2{slash}PB7)") + (pinfunction "XTAL2/PB7") + (pintype "bidirectional") + (uuid "b0c2c85e-58ef-4404-81b2-a4d46995114f") + ) + (pad "11" thru_hole oval + (at 0 25.4 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "D5") + (pinfunction "PD5") + (pintype "bidirectional") + (uuid "b2ef70d5-0ff6-46f9-a7c9-a3b49d7d5cbf") + ) + (pad "12" thru_hole oval + (at 0 27.94 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "D6") + (pinfunction "PD6") + (pintype "bidirectional") + (uuid "e5f66be0-41af-4beb-a0ea-df3f45ca7813") + ) + (pad "13" thru_hole oval + (at 0 30.48 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 35 "D7") + (pinfunction "PD7") + (pintype "bidirectional") + (uuid "36fe64b0-70e1-4d11-8525-9ac39e91c398") + ) + (pad "14" thru_hole oval + (at 0 33.02 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "D8") + (pinfunction "PB0") + (pintype "bidirectional") + (uuid "d89541c3-e113-49ef-994e-bfea0a6f90b3") + ) + (pad "15" thru_hole oval + (at 7.62 33.02 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "D9") + (pinfunction "PB1") + (pintype "bidirectional") + (uuid "4a310a54-c78a-438c-ae6e-5e556b52ddb4") + ) + (pad "16" thru_hole oval + (at 7.62 30.48 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 31 "D10") + (pinfunction "PB2") + (pintype "bidirectional") + (uuid "28555f63-7eeb-463f-ad6d-4e058929455a") + ) + (pad "17" thru_hole oval + (at 7.62 27.94 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 30 "D11") + (pinfunction "PB3") + (pintype "bidirectional") + (uuid "ecc0af9f-e6d5-495b-8046-83c52e7f5abb") + ) + (pad "18" thru_hole oval + (at 7.62 25.4 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "D12") + (pinfunction "PB4") + (pintype "bidirectional") + (uuid "b3922506-e84f-48d4-959b-20b2f83a6d82") + ) + (pad "19" thru_hole oval + (at 7.62 22.86 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "D13") + (pinfunction "PB5") + (pintype "bidirectional") + (uuid "8853559a-0dbc-448f-af6a-8f07708bed09") + ) + (pad "20" thru_hole oval + (at 7.62 20.32 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "AVCC") + (pintype "power_in") + (uuid "8a2b7ac2-61c1-4c7e-9a3c-852e4fdf0107") + ) + (pad "21" thru_hole oval + (at 7.62 17.78 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "AREF") + (pintype "passive") + (uuid "2ddfa9a5-09be-46dd-9d37-17567c17d70d") + ) + (pad "22" thru_hole oval + (at 7.62 15.24 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "GND") + (pintype "passive") + (uuid "5c1af060-72c7-46f8-bd47-513b75281282") + ) + (pad "23" thru_hole oval + (at 7.62 12.7 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "A0") + (pinfunction "PC0") + (pintype "bidirectional") + (uuid "c995901f-e836-4633-ad59-5a0ab1ddfda5") + ) + (pad "24" thru_hole oval + (at 7.62 10.16 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "A1") + (pinfunction "PC1") + (pintype "bidirectional") + (uuid "fdefd203-93fd-4233-99c4-1cad3636908f") + ) + (pad "25" thru_hole oval + (at 7.62 7.62 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "A2") + (pinfunction "PC2") + (pintype "bidirectional") + (uuid "fe4bb9f7-644a-4ccb-bc51-0a5af7c9af1d") + ) + (pad "26" thru_hole oval + (at 7.62 5.08 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 26 "A3") + (pinfunction "PC3") + (pintype "bidirectional") + (uuid "3af5712b-ebd0-4f79-8abc-96a51716fff5") + ) + (pad "27" thru_hole oval + (at 7.62 2.54 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "A4") + (pinfunction "PC4") + (pintype "bidirectional") + (uuid "fe30f083-303b-4fd1-bcf2-06fade9f208b") + ) + (pad "28" thru_hole oval + (at 7.62 0 180) + (size 1.6 1.6) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "A5") + (pinfunction "PC5") + (pintype "bidirectional") + (uuid "fee90571-0a83-4ff5-a1a8-2daa32302830") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_DIP.3dshapes/DIP-28_W7.62mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "35f823d0-ff4b-4e6f-845f-7c8163c1b0bd") + (at 94.996 132.072) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D5" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "a44fec21-2cda-4cba-abad-20dca270540b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "LED" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "fb8fb17e-6266-49a4-a9a0-97841b658107") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "09d386b7-6d04-48fa-83c3-9c710846f9e3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "31f48b79-b270-44d0-94ea-b4131133481b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f9574b2f-82ca-490f-9baf-bdaa9f86114f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/cdf387d5-653e-4a54-bf3a-9c46b2ba391d") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -1.86 -0.96) + (end -1.86 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e7f60d7f-daf4-4ba8-a2f0-7dca80297485") + ) + (fp_line + (start -1.86 0.96) + (end 1 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "356ebb1b-175a-47af-81df-d09faba132cb") + ) + (fp_line + (start 1 -0.96) + (end -1.86 -0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "df2b1ae1-312f-4966-a592-ea8327e3de81") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f3c67f48-7c69-4bca-8e19-9bf8ec2f903a") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8e688223-5d25-4f13-84e4-90bc81e7f336") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0185cb81-0c3a-4c5a-9c0e-4dd7e25027cf") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "55127450-de84-4a57-b943-b29f8f1b796a") + ) + (fp_line + (start -1 -0.3) + (end -1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6052fa32-31d6-465d-80fa-5a47c5ef6a68") + ) + (fp_line + (start -1 0.6) + (end 1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9faf76e9-9ed6-4ac5-82d2-699250e32a02") + ) + (fp_line + (start -0.7 -0.6) + (end -1 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f055404e-1786-4df2-a087-586a0a2299a3") + ) + (fp_line + (start 1 -0.6) + (end -0.7 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b89bb917-62af-48f6-89aa-39fa569620f5") + ) + (fp_line + (start 1 0.6) + (end 1 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4d22f1a3-5906-44be-80a6-389d23978dd5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "be0f64b3-076c-4029-8ccd-6c23d6ca597e") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 19 "Net-(D5-K)") + (pinfunction "K") + (pintype "passive") + (uuid "b4dad55d-4e69-43f5-bd7f-8de61103ba2d") + ) + (pad "2" smd roundrect + (at 1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 18 "RXD") + (pinfunction "A") + (pintype "passive") + (uuid "8b4dcf71-1c42-420e-a18a-4fbf3d629ceb") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" + (layer "F.Cu") + (uuid "4323dfc3-7aa2-4246-8878-8960f1f9b450") + (at 59.436 119.38) + (descr "Through hole straight pin header, 1x06, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x06 2.54mm single row") + (property "Reference" "J1" + (at 0 -2.33 0) + (layer "F.SilkS") + (uuid "50cfff9e-7c19-4c8b-b981-d0b0f4ca0410") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x06_Pin" + (at 0 15.03 0) + (layer "F.Fab") + (uuid "ed0deb44-3b96-4a9b-ac3f-b4308bfb6e45") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a3f27e34-32c3-474f-aa88-f9bd9cbcbecc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "94d03329-d5b1-4abd-b9b5-9cf921f3a824") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x06, script generated" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ca9ce6a4-7780-4836-9b3f-8dce0e99e73a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/7c2c2005-560f-4422-bf50-78fdefce02be") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -1.33 -1.33) + (end 0 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f9646a00-7f92-47cd-98c0-5124c367f4a3") + ) + (fp_line + (start -1.33 0) + (end -1.33 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b3816d5c-060a-4182-963f-b4796e662e34") + ) + (fp_line + (start -1.33 1.27) + (end -1.33 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "71187176-6cb3-4ae3-ac79-0dc3b43a5853") + ) + (fp_line + (start -1.33 1.27) + (end 1.33 1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e513a9aa-bb97-4f91-a275-d8011ece1578") + ) + (fp_line + (start -1.33 14.03) + (end 1.33 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e3b55fa7-b7a1-4870-878c-46239c663a2d") + ) + (fp_line + (start 1.33 1.27) + (end 1.33 14.03) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b1e10b4c-0e2d-4912-89ea-8362767d7638") + ) + (fp_line + (start -1.8 -1.8) + (end -1.8 14.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "68f114eb-caf7-40fb-91f7-dd4ee934b971") + ) + (fp_line + (start -1.8 14.5) + (end 1.8 14.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "59e6265b-8191-4bb1-9f21-3ce9a021aa00") + ) + (fp_line + (start 1.8 -1.8) + (end -1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f2958d05-bb6b-4700-a08c-36f33e3ba8e6") + ) + (fp_line + (start 1.8 14.5) + (end 1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ea737995-9650-43ad-85ce-30acd1c85967") + ) + (fp_line + (start -1.27 -0.635) + (end -0.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f59fb450-d86a-4608-afbf-29709b4e768c") + ) + (fp_line + (start -1.27 13.97) + (end -1.27 -0.635) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "30655802-5426-4767-9196-1ea348145c64") + ) + (fp_line + (start -0.635 -1.27) + (end 1.27 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a1726eb-1d20-49ae-83fc-0b963fa903c0") + ) + (fp_line + (start 1.27 -1.27) + (end 1.27 13.97) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3fc57dde-203b-4601-a5a9-ee9d7369e4f5") + ) + (fp_line + (start 1.27 13.97) + (end -1.27 13.97) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ca0f6d55-61fb-4540-b5c7-985e605c8e4d") + ) + (fp_text user "${REFERENCE}" + (at 0 6.35 90) + (layer "F.Fab") + (uuid "a9c032fa-a402-41e0-a31c-23ab5bb90dc6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "A0") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "d42fa6d0-f5e7-46c5-8a86-eef87c3bb7b9") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "A1") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "99178a18-2b97-4ea5-b817-0e7e64173c02") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "A2") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "99be666e-5f24-40b8-8864-3335fd2133e1") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 26 "A3") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "d6930bd3-17ba-42a5-9e24-2effd798d12b") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "A4") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "6e04c334-c674-43d0-b0a8-cbe27b734225") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "A5") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "0d75ccde-91f3-4ca5-a8ee-070faaa04281") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "43c9cfca-62ca-4ab8-898f-60808bce96af") + (at 86.36 113.792) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C1" + (at 0 -1.68 0) + (layer "F.SilkS") + (uuid "f55234c6-e364-4622-add4-2e7025a3b443") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "22pf" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "4e8b86a8-8f6e-4205-9640-02a56ab6dc57") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b0ec1de8-8783-4ccc-9aa9-d19155280c69") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "18e75fa1-e504-42c3-aa02-bd0b1ea6a684") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "498fcc01-f03a-417b-834a-d088a030d228") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/c339de3b-8420-4669-9d54-65d4fce2e58b") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "793a4559-4622-4890-a3be-7d21f5e58908") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4e56b155-6be2-4449-9f4c-167ea409d9cf") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f9583449-4642-4faf-b56c-de641b2946b6") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "73678486-20fc-4944-9364-a1aa3a21ab4f") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f7159f1b-5fc4-4969-94b7-06e7e45eac3b") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e6592c4-622e-4f20-8bd8-583d0ecace37") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "793a5c94-61b0-4534-87db-34cda8d4db4f") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "841150eb-ab4b-4647-b770-29dff9343a8a") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4d243dd4-9ea6-4897-9c12-5ee58d6d71b6") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bfc249a1-23a6-42d4-9daa-d9758510015b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "b9620f66-ea0a-4e90-9f68-c9395eefe627") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 1 "Net-(U1-XTAL1{slash}PB6)") + (pintype "passive") + (uuid "a989519d-f58c-4b67-bc00-b689455dec9c") + ) + (pad "2" smd roundrect + (at 1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "ac1e6406-33c6-45de-89c0-0b1135ea743b") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "451e101a-ec86-44a7-ad80-4d098f3d2b29") + (at 64.017 113.792) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D2" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "b80b7789-b414-4863-bc04-0fadcbc73272") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "LED" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "59a86f9f-fb25-400d-bada-41a32feb021d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "adaeb5a8-346c-403f-94bb-767bf306f4d9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c1155bce-0f63-4387-94dc-4bc9c9893ec3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c9b37558-3996-492d-b3bf-1176879ec39d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/ab8ffdf6-4cc8-4566-a997-3294df3bc678") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -1.86 -0.96) + (end -1.86 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1902691b-70aa-44ad-aff3-68625bd1f789") + ) + (fp_line + (start -1.86 0.96) + (end 1 0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f80bae68-52b2-40b4-ba52-affa170f81d5") + ) + (fp_line + (start 1 -0.96) + (end -1.86 -0.96) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d27be3b1-6911-4b47-ab80-eef0027778c9") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4cd53f58-d85d-4e8f-8af1-1d3fca659060") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8e5d4066-0c53-4010-8edd-bba69d7ca31c") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7205cbdb-c41d-4d5f-b350-6dce91e2902f") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3b3afb6c-39a5-4d15-a1c7-ac28e75c4ee3") + ) + (fp_line + (start -1 -0.3) + (end -1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7e203b7-3457-40b2-80e3-3d7f8598f19a") + ) + (fp_line + (start -1 0.6) + (end 1 0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b1a1ed79-d716-4d4f-8af4-09577bbe6bfc") + ) + (fp_line + (start -0.7 -0.6) + (end -1 -0.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5499f948-8ccc-4d05-acfe-4882c7c1d72c") + ) + (fp_line + (start 1 -0.6) + (end -0.7 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "392ac434-8c14-4811-8327-a988906339e2") + ) + (fp_line + (start 1 0.6) + (end 1 -0.6) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8f4986a3-7a39-4905-903f-5ac1370046a7") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "10022b9f-ba52-4ac9-8ab6-328eaac966ea") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 14 "Net-(D2-K)") + (pinfunction "K") + (pintype "passive") + (uuid "e6a40640-4e3c-487d-98fa-ce7280f1e629") + ) + (pad "2" smd roundrect + (at 1.025 0) + (size 1.15 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.217391) + (net 13 "D13") + (pinfunction "A") + (pintype "passive") + (uuid "333fc8c9-65aa-44ab-af90-4631215b6420") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector:FanPinHeader_1x03_P2.54mm_Vertical" + (layer "F.Cu") + (uuid "596d25e1-aafa-49ee-acdc-32fd0642e3f5") + (at 92.456 79.248 180) + (descr "3-pin CPU fan Through hole pin header, see http://www.formfactors.org/developer%5Cspecs%5Crev1_2_public.pdf") + (tags "pin header 3-pin CPU fan") + (property "Reference" "J4" + (at 7.072 -3.4 180) + (layer "F.SilkS") + (uuid "7d5f1d64-91fc-4923-83fa-451b49f129bd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x03_Pin" + (at 2.55 4.5 180) + (layer "F.Fab") + (uuid "6c751127-0355-4db8-aefc-2941fd0fb517") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector:FanPinHeader_1x03_P2.54mm_Vertical" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "492234e6-b87c-4beb-a9d4-5be417ba8717") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "33a7566f-1e31-4c4b-941b-b945fb1087c6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x03, script generated" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fb896b57-35f3-437d-8069-f7b170b42ee9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/24a9d1e4-0e65-40e4-99c3-b221d56f9dbc") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start 6.45 3.4) + (end -1.35 3.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7f38e928-9dee-4da0-b854-ddd0176cc5de") + ) + (fp_line + (start 6.45 -2.65) + (end 6.45 3.4) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a87b5d5a-1f84-48e8-98ce-31b92fb2ba97") + ) + (fp_line + (start 5.08 2.29) + (end 5.08 3.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2bef49f8-59d8-4886-88d8-7d2e1f15108a") + ) + (fp_line + (start 0 3.3) + (end 0 2.29) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7c98759f-4c7c-4c1b-8ab0-14043ef06190") + ) + (fp_line + (start 0 2.29) + (end 5.08 2.29) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "102a0f9d-ac13-41a8-83fe-b8ec46b72028") + ) + (fp_line + (start -1.35 3.4) + (end -1.35 -2.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "65368c5f-8367-4d43-a105-0e259dd3837d") + ) + (fp_line + (start -1.35 -2.65) + (end 6.45 -2.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7e6b1e29-cb8b-49c6-851c-57e5b3d66bc6") + ) + (fp_line + (start 6.85 -3.05) + (end 6.85 3.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cf2490b7-63e3-48b9-805e-2f5f497c813a") + ) + (fp_line + (start 6.85 -3.05) + (end -1.75 -3.05) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "44896125-0ef9-4bd4-ae02-bc0500dd76b0") + ) + (fp_line + (start -1.75 3.8) + (end 6.85 3.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "47d3561d-dae3-4a6c-a986-47c203a03490") + ) + (fp_line + (start -1.75 3.8) + (end -1.75 -3.05) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "97cd3eba-5e64-42d6-8ca9-d0bf450551ff") + ) + (fp_line + (start 6.35 3.3) + (end -1.25 3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "32b0d8b3-a088-4742-8d77-b11b0e5cdab2") + ) + (fp_line + (start 6.35 -2.55) + (end 6.35 3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f950530-ed9c-4c28-9b09-df878958b585") + ) + (fp_line + (start 5.05 3.3) + (end 5.05 2.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "882773e8-5faa-47b9-b0cd-28350b1abd4f") + ) + (fp_line + (start 5.05 2.3) + (end 0 2.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "335ac9b0-ce7d-4596-b09a-3eac61501676") + ) + (fp_line + (start 0 2.3) + (end 0 3.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "00a934d7-1b86-4fc5-be81-25c0f233ec3f") + ) + (fp_line + (start -1.25 3.3) + (end -1.25 -2.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f74ed23-567c-4e12-978e-b3a94576dbb1") + ) + (fp_line + (start -1.25 -2.55) + (end 6.35 -2.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9f4ada57-70c0-4852-b372-17295dc39fea") + ) + (fp_text user "${REFERENCE}" + (at 2.45 1.8 180) + (layer "F.Fab") + (uuid "af84087c-efda-46e1-bb92-2919848ddd24") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 2.03 1.73) + (drill 1.02) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "5VU") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "d03f3dbe-6f98-4989-b4ee-0ebe37fcdf99") + ) + (pad "2" thru_hole oval + (at 2.54 0 270) + (size 2.03 1.73) + (drill 1.02) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "VCC") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "97a5630a-18af-4e83-bfaf-83b97e8f58a0") + ) + (pad "3" thru_hole oval + (at 5.08 0 270) + (size 2.03 1.73) + (drill 1.02) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "5V") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "10618882-2bed-489a-b9be-1d643ae77c1a") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector.3dshapes/FanPinHeader_1x03_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" + (layer "F.Cu") + (uuid "60fca9ef-25f5-43c7-ad02-4a114ce9e944") + (at 91.44 90.424) + (descr "SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "Reference" "U3" + (at 0 -5.9 0) + (layer "F.SilkS") + (uuid "035c1c5b-7349-4c21-b70b-18742387a432") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "CH340G" + (at 0 5.9 0) + (layer "F.Fab") + (uuid "054d0e24-cfbb-49f9-99e1-28910bf4a15f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "36600ae7-b264-4fd9-87a0-124eab56ed88") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "41ef065f-4494-4b6a-b7c9-6042a36eb2fd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "USB serial converter, UART, SOIC-16" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "30a155dc-caa6-43fd-945c-1ef2576fa0ed") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOIC*3.9x9.9mm*P1.27mm*") + (path "/fb023164-5706-4453-bcc0-e5db38f39af2") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start 0 -5.06) + (end -1.95 -5.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3b9449eb-dd06-4f28-a92a-c07611612f56") + ) + (fp_line + (start 0 -5.06) + (end 1.95 -5.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4367fc70-42b8-4db1-a45d-dce1df76b152") + ) + (fp_line + (start 0 5.06) + (end -1.95 5.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dae9d520-e8bc-44ef-ac55-91957f98e163") + ) + (fp_line + (start 0 5.06) + (end 1.95 5.06) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e6d8d0aa-233c-4c30-8377-52cb30dc59df") + ) + (fp_poly + (pts + (xy -2.7 -5.005) (xy -2.94 -5.335) (xy -2.46 -5.335) (xy -2.7 -5.005) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5ed86f8a-9a00-4a03-b3d5-200331dbd068") + ) + (fp_line + (start -3.7 -5.2) + (end -3.7 5.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "16d5d111-9273-4c6b-b92e-c8ff34abc680") + ) + (fp_line + (start -3.7 5.2) + (end 3.7 5.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eca124ce-c143-4a0b-acbe-8844e46bcae3") + ) + (fp_line + (start 3.7 -5.2) + (end -3.7 -5.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9f49fd52-bc27-4e82-99ae-cef81de8a432") + ) + (fp_line + (start 3.7 5.2) + (end 3.7 -5.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "98401365-d969-48bd-a05b-ebe6f65c9d72") + ) + (fp_line + (start -1.95 -3.975) + (end -0.975 -4.95) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "451f0ba4-21f3-4447-b403-1a510880d52b") + ) + (fp_line + (start -1.95 4.95) + (end -1.95 -3.975) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7a40e9f9-fa76-4961-a867-d8028cf3a648") + ) + (fp_line + (start -0.975 -4.95) + (end 1.95 -4.95) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a8e487c6-ab76-447c-bba5-5cf78ffab9a4") + ) + (fp_line + (start 1.95 -4.95) + (end 1.95 4.95) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4b2a8510-ba92-49cd-a64a-1dbea88f6c03") + ) + (fp_line + (start 1.95 4.95) + (end -1.95 4.95) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c473dcec-41e0-4775-8fd5-2057bbf1f995") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "47d44afd-1ff3-4ff6-a844-346d18dd85ff") + (effects + (font + (size 0.98 0.98) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -2.475 -4.445) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "5b80d7ca-ab5f-44b7-978f-553d5294be7b") + ) + (pad "2" smd roundrect + (at -2.475 -3.175) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 18 "RXD") + (pinfunction "TXD") + (pintype "output") + (uuid "286c3318-4f91-403f-8f0e-839173cdec19") + ) + (pad "3" smd roundrect + (at -2.475 -1.905) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 17 "TXD") + (pinfunction "RXD") + (pintype "input") + (uuid "92b39ff1-cc1e-4215-8f09-8afed28db67f") + ) + (pad "4" smd roundrect + (at -2.475 -0.635) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "3.3V") + (pinfunction "V3") + (pintype "power_out") + (uuid "337f2f5b-b141-46d8-9fd1-d43a1171013e") + ) + (pad "5" smd roundrect + (at -2.475 0.635) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 39 "Net-(J5-D+)") + (pinfunction "UD+") + (pintype "bidirectional") + (uuid "aaa0c45a-768f-40ee-bdde-4b903399fa2e") + ) + (pad "6" smd roundrect + (at -2.475 1.905) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 38 "Net-(J5-D-)") + (pinfunction "UD-") + (pintype "bidirectional") + (uuid "69bdbc85-be01-4fd3-ad61-ccbd3fce12a1") + ) + (pad "7" smd roundrect + (at -2.475 3.175) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "Net-(U3-XI)") + (pinfunction "XI") + (pintype "input") + (uuid "f6a85067-5e64-472e-87f1-5741d5ba6364") + ) + (pad "8" smd roundrect + (at -2.475 4.445) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 4 "Net-(U3-XO)") + (pinfunction "XO") + (pintype "output") + (uuid "bc56ada3-bcd6-4d76-92a3-c2f9ef7212a8") + ) + (pad "9" smd roundrect + (at 2.475 4.445) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 42 "unconnected-(U3-~{CTS}-Pad9)") + (pinfunction "~{CTS}") + (pintype "input+no_connect") + (uuid "861437fc-ccc6-4880-a659-2b6e3e4e3dc5") + ) + (pad "10" smd roundrect + (at 2.475 3.175) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 43 "unconnected-(U3-~{DSR}-Pad10)") + (pinfunction "~{DSR}") + (pintype "input+no_connect") + (uuid "aa24786a-42de-44e7-84ee-49aa46520a30") + ) + (pad "11" smd roundrect + (at 2.475 1.905) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 45 "unconnected-(U3-~{RI}-Pad11)") + (pinfunction "~{RI}") + (pintype "input+no_connect") + (uuid "fc238a2d-72c7-44ed-bc1c-07c8c8109540") + ) + (pad "12" smd roundrect + (at 2.475 0.635) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 40 "unconnected-(U3-~{DCD}-Pad12)") + (pinfunction "~{DCD}") + (pintype "input+no_connect") + (uuid "0af6ad9a-993f-41b9-99d5-2b353db9592f") + ) + (pad "13" smd roundrect + (at 2.475 -0.635) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "Net-(U3-~{DTR})") + (pinfunction "~{DTR}") + (pintype "output") + (uuid "dabecc3d-9206-4686-b6f3-e6c6ab0eb728") + ) + (pad "14" smd roundrect + (at 2.475 -1.905) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 41 "unconnected-(U3-~{RTS}-Pad14)") + (pinfunction "~{RTS}") + (pintype "output+no_connect") + (uuid "1bfefc65-1976-4ddc-8f41-e1e427138135") + ) + (pad "15" smd roundrect + (at 2.475 -3.175) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 44 "unconnected-(U3-R232-Pad15)") + (pinfunction "R232") + (pintype "input+no_connect") + (uuid "b64811b2-41c6-4ae5-a324-2d3325740d41") + ) + (pad "16" smd roundrect + (at 2.475 -4.445) + (size 1.95 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 8 "VCC") + (pinfunction "VCC") + (pintype "power_in") + (uuid "1f274494-5335-4cb4-ad51-1f53c4c716ae") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_USB:USB_B_Lumberg_2411_02_Horizontal" + (layer "F.Cu") + (uuid "611c93bf-da07-4418-bd52-a07b279db9f6") + (at 102.382 80.4475 90) + (descr "USB 2.0 receptacle type B, horizontal version, through-hole, https://downloads.lumberg.com/datenblaetter/en/2411_02.pdf") + (tags "USB B receptacle horizontal through-hole") + (property "Reference" "J5" + (at 7.5 -7.65 -90) + (layer "F.SilkS") + (uuid "d7fd8033-0528-47f0-9154-00bf6b6e0897") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "USB_B" + (at 7.05 10.45 -90) + (layer "F.Fab") + (uuid "a33c676f-ab78-442c-8aa1-6a64a8b2e338") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_B_Lumberg_2411_02_Horizontal" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d5715b3d-7fd3-4edd-a801-fa2195a59cb1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" " ~" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9c6911dc-fad5-4c81-be68-6003a60811e6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "USB Type B connector" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4fd4a3be-9466-4187-859e-480b762147ad") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "USB*") + (path "/80912288-443b-4d7e-95af-0f50bcfba20a") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start 15.27 -4.86) + (end 7.3 -4.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8e5681a0-6088-4e86-8ede-769a08133582") + ) + (fp_line + (start -1.35 -4.86) + (end 2.4 -4.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "71b4e49e-579a-425d-a3a5-4c335e497365") + ) + (fp_line + (start -2.05 -0.5) + (end -2.05 0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2553c35d-a353-4ada-9dc7-9c2b6962c87c") + ) + (fp_line + (start -1.55 0) + (end -2.05 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b8c5df6c-677d-4e39-9f24-40475d9cd02c") + ) + (fp_line + (start -2.05 0.5) + (end -1.55 0) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0d5a7684-f748-42ed-a8c9-56001db06906") + ) + (fp_line + (start 15.27 7.36) + (end 15.27 -4.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b375e0c8-3060-4cbb-84be-5f89361f808e") + ) + (fp_line + (start 15.27 7.36) + (end 7.3 7.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a1617400-8901-4efe-a45c-af20248642d1") + ) + (fp_line + (start -1.35 7.36) + (end -1.35 -4.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3f50574a-6ce2-436a-9932-e018b7ebca3c") + ) + (fp_line + (start -1.35 7.36) + (end 2.4 7.36) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6485f937-b7c3-496b-b000-28676bdad482") + ) + (fp_line + (start 15.66 -7.25) + (end -1.74 -7.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "60901124-ca86-4331-a32e-3103d702853f") + ) + (fp_line + (start -1.74 -7.25) + (end -1.74 9.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6e633867-3af4-471a-9437-797877ffbd8d") + ) + (fp_line + (start 15.66 9.75) + (end 15.66 -7.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c9948218-575b-4eec-90b3-a91da42358c5") + ) + (fp_line + (start -1.74 9.75) + (end 15.66 9.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "55732c6b-4144-45fa-8401-eee50110a700") + ) + (fp_line + (start 15.16 -4.75) + (end 15.16 7.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "52dc3411-18e7-43cd-ab65-811189e49075") + ) + (fp_line + (start -1.24 -4.75) + (end 15.16 -4.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "55e0cc24-97be-461d-b421-9f62c0bd68ce") + ) + (fp_line + (start -0.75 0) + (end -1.24 -0.49) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "70665de8-b5b0-48fa-aed1-c390b4919a91") + ) + (fp_line + (start -1.24 0.49) + (end -0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68bec58d-e35b-45b0-be7b-7320e4162c5e") + ) + (fp_line + (start 15.16 7.25) + (end -1.24 7.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b42c3a53-866f-4a52-9631-23aeee267773") + ) + (fp_line + (start -1.24 7.25) + (end -1.24 -4.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fe901b78-52a3-47c6-a300-037edfa2540f") + ) + (fp_text user "${REFERENCE}" + (at 7.5 1.25 -90) + (layer "F.Fab") + (uuid "a27d732f-194b-4b43-affb-bd630c521ada") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 180) + (size 1.6 1.6) + (drill 0.95) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "5VU") + (pinfunction "VBUS") + (pintype "power_out") + (uuid "3199afc7-209a-4515-884d-2c73d69c4d75") + ) + (pad "2" thru_hole circle + (at 0 2.5 180) + (size 1.6 1.6) + (drill 0.95) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 38 "Net-(J5-D-)") + (pinfunction "D-") + (pintype "bidirectional") + (uuid "09c540af-66ae-4a75-aa96-ae0105d6c504") + ) + (pad "3" thru_hole circle + (at 2 2.5 180) + (size 1.6 1.6) + (drill 0.95) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 39 "Net-(J5-D+)") + (pinfunction "D+") + (pintype "bidirectional") + (uuid "e242d7a6-fef5-4163-93e5-ecb909044998") + ) + (pad "4" thru_hole circle + (at 2 0 180) + (size 1.6 1.6) + (drill 0.95) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_out") + (uuid "7edf498e-580a-4ff5-9509-b831b976e9ae") + ) + (pad "5" thru_hole circle + (at 4.86 -4.75 180) + (size 4 4) + (drill 2.3) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "be4e914a-7722-4cc0-adb3-d49f63326bc1") + ) + (pad "5" thru_hole circle + (at 4.86 7.25 180) + (size 4 4) + (drill 2.3) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "4fe8e5c2-26c8-42d3-b9b5-6459eda80892") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_USB.3dshapes/USB_B_Lumberg_2411_02_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_Elec_10x10.2" + (layer "F.Cu") + (uuid "61a1f528-536b-4064-9402-24e2a5819b6a") + (at 79.248 78.74 -90) + (descr "SMD capacitor, aluminum electrolytic nonpolar, 10.0x10.2mm") + (tags "capacitor electrolyic nonpolar") + (property "Reference" "C8" + (at 0 -6.2 90) + (layer "F.SilkS") + (uuid "a39aafd6-8c89-437a-a1c4-eaca3fbe0b6c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100uF" + (at 0 6.2 90) + (layer "F.Fab") + (uuid "b863846c-0b39-4365-b19b-935845c7a8f1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_Elec_10x10.2" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e55334c7-dcfb-48bc-8c1b-b767dd95a2f9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "03922fa7-95f9-4f64-821d-307bac6d90ec") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e7760ee1-4778-4c47-b473-c59fe298f9cc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/f2c79a92-b998-4b4d-929f-1b4a699c4d5f") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -4.195563 5.26) + (end 5.26 5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "002d8d8c-715a-4b34-9d9b-fce86bb0bcb1") + ) + (fp_line + (start 5.26 5.26) + (end 5.26 1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c9d52cbb-696a-499d-8a9d-21cfdba2d379") + ) + (fp_line + (start -5.26 4.195563) + (end -4.195563 5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "67055756-cad6-426e-a5b7-7165c68792fa") + ) + (fp_line + (start -5.26 4.195563) + (end -5.26 1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c2d635b5-b656-487c-a32f-028709a69641") + ) + (fp_line + (start -5.26 -4.195563) + (end -5.26 -1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dfc404f0-ef01-4b0c-b803-f65070bab56b") + ) + (fp_line + (start -5.26 -4.195563) + (end -4.195563 -5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "07798c78-70d9-42bb-a99a-e09a992ac912") + ) + (fp_line + (start -4.195563 -5.26) + (end 5.26 -5.26) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "94bc7305-4e54-4f45-9834-a3d63c4ba78a") + ) + (fp_line + (start 5.26 -5.26) + (end 5.26 -1.31) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d89f8528-8016-40f3-a397-381efe118a0d") + ) + (fp_line + (start -4.25 5.4) + (end 5.4 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "146eee99-2205-4b71-a946-5ac780ea832d") + ) + (fp_line + (start -5.4 4.25) + (end -4.25 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4e95bfbc-16d1-478e-8d48-f6fc2708ebb2") + ) + (fp_line + (start -6.95 1.3) + (end -5.4 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6eb93bcb-89fe-4fc8-a505-76443726e3cb") + ) + (fp_line + (start -5.4 1.3) + (end -5.4 4.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "143fbaa6-79e7-4b7f-81d6-b217e07352b6") + ) + (fp_line + (start 5.4 1.3) + (end 5.4 5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ec255428-106f-468e-834e-43c2706dd3e0") + ) + (fp_line + (start 6.95 1.3) + (end 5.4 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "53101b9e-985b-403a-91cb-af42d3630d99") + ) + (fp_line + (start -6.95 -1.3) + (end -6.95 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3400326-fb11-4f06-b967-1732d9f849bd") + ) + (fp_line + (start -5.4 -1.3) + (end -6.95 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "32d00a45-fae7-4b75-85b9-bbc9b0579604") + ) + (fp_line + (start 5.4 -1.3) + (end 6.95 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e5972ff2-4696-457e-9686-e255cd61d3e3") + ) + (fp_line + (start 6.95 -1.3) + (end 6.95 1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "47965646-63b8-4baf-9815-6977145e8412") + ) + (fp_line + (start -5.4 -4.25) + (end -5.4 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a73cb5a0-9529-4875-a648-2f31d2bcc922") + ) + (fp_line + (start -5.4 -4.25) + (end -4.25 -5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "28800d02-8d43-4abf-86a8-21ee7254dd00") + ) + (fp_line + (start -4.25 -5.4) + (end 5.4 -5.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "63b26bfb-aab0-4410-adec-843c28a86dfe") + ) + (fp_line + (start 5.4 -5.4) + (end 5.4 -1.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "82e17c31-b338-4e27-b823-ad9694edc7a0") + ) + (fp_line + (start -4.15 5.15) + (end 5.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "20da7df0-8ad0-42c8-816f-1b5b7e21780f") + ) + (fp_line + (start -5.15 4.15) + (end -4.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5b5cbc54-296e-4707-b3c1-c00ac8b9bb1a") + ) + (fp_line + (start -5.15 -4.15) + (end -5.15 4.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "63324ad0-8222-42f0-a124-78c113bba520") + ) + (fp_line + (start -5.15 -4.15) + (end -4.15 -5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cf701f23-1869-4bcf-a94c-19372e05a3cb") + ) + (fp_line + (start -4.15 -5.15) + (end 5.15 -5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "91b329b4-a62a-44ad-a413-6f41fb3f8c28") + ) + (fp_line + (start 5.15 -5.15) + (end 5.15 5.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d5017e41-2e6b-4808-a35d-f9aa6ab33b3c") + ) + (fp_circle + (center 0 0) + (end 5 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "c73780ff-2a7f-4a6d-9eab-2f89e158010a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "d8c8b10e-1166-4429-a683-78d811e2069b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -4.4 0 270) + (size 4.6 2.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.119048) + (net 10 "5V") + (pintype "passive") + (uuid "71c12094-c01c-43e1-91e1-385490dc21b0") + ) + (pad "2" smd roundrect + (at 4.4 0 270) + (size 4.6 2.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.119048) + (net 2 "GND") + (pintype "passive") + (uuid "8695ffcf-6d95-4a0f-b1ac-e8957b49cfb1") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_Elec_10x10.2.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (layer "F.Cu") + (uuid "6d818a8d-3605-4673-8daf-d9d5c35625a3") + (at 110.744 132.319 180) + (descr "Through hole straight pin header, 1x08, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x08 2.54mm single row") + (property "Reference" "J3" + (at 0 -2.33 180) + (layer "F.SilkS") + (uuid "26fa1c3a-6507-4beb-b23b-3c04eadb5a7f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x08_Pin" + (at -10.16 20.051 180) + (layer "F.Fab") + (uuid "9354780f-6404-4b3e-9c24-9cf499dc6a0f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a005ed61-bc0b-456c-96d8-7d5dc363100c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "951b6590-a75b-448b-a395-7234a22ef65c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x08, script generated" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8794cd91-60b7-4cd4-ad61-3fda57ad95cb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/531ef052-2d69-4546-ad10-33ca5a7fbf05") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start 1.33 1.27) + (end 1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9f6d9979-6ce7-4e03-8542-9066cb26213b") + ) + (fp_line + (start -1.33 19.11) + (end 1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d86312d6-f97d-4d23-b1c9-1237b50c9675") + ) + (fp_line + (start -1.33 1.27) + (end 1.33 1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4da54a87-8468-485e-8e3a-02a7783c1641") + ) + (fp_line + (start -1.33 1.27) + (end -1.33 19.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e8007fd9-b614-4c66-bab6-92c294d4d757") + ) + (fp_line + (start -1.33 0) + (end -1.33 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6cfdb907-7cd0-4e90-addb-adf0e0cb3559") + ) + (fp_line + (start -1.33 -1.33) + (end 0 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "aa58750c-6243-4bec-9f81-6e85070bc468") + ) + (fp_line + (start 1.8 19.55) + (end 1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "668e39a7-f8ce-4a11-bc3a-8916725fd08a") + ) + (fp_line + (start 1.8 -1.8) + (end -1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5f6e9081-0b8f-45e0-b6d1-01504573c83a") + ) + (fp_line + (start -1.8 19.55) + (end 1.8 19.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dfd94a85-06e8-4817-9639-5843824d845e") + ) + (fp_line + (start -1.8 -1.8) + (end -1.8 19.55) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c4d16b7e-d6b1-4e36-8f15-25d8bdbab2e7") + ) + (fp_line + (start 1.27 19.05) + (end -1.27 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7636fc0-13a1-4c20-b325-b63ea5b332a3") + ) + (fp_line + (start 1.27 -1.27) + (end 1.27 19.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "54dd6f70-2374-42d0-8a99-761f405e245f") + ) + (fp_line + (start -0.635 -1.27) + (end 1.27 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6b039fae-0b37-4a40-9c6f-62dd67a2410d") + ) + (fp_line + (start -1.27 19.05) + (end -1.27 -0.635) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "498e4e0c-bf40-429f-9ac1-2c67cad9dbcc") + ) + (fp_line + (start -1.27 -0.635) + (end -0.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "70f8e18d-04eb-401d-aea1-5f8e2b85d053") + ) + (fp_text user "${REFERENCE}" + (at 0 8.89 -90) + (layer "F.Fab") + (uuid "45c36cb0-b46b-4a6a-be9a-aba5418995cf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 18 "RXD") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "36a702e9-39fa-4e0b-9b17-1d38a33ba1fe") + ) + (pad "2" thru_hole oval + (at 0 2.54 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 17 "TXD") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "adc5f3c9-2140-45c5-8ad3-b074343e8721") + ) + (pad "3" thru_hole oval + (at 0 5.08 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "D2") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "51c27962-5cb1-41cf-996e-6a67a74ff3ef") + ) + (pad "4" thru_hole oval + (at 0 7.62 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 37 "D3") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "d9eb15b5-8eea-486d-8d78-09be3afa6f74") + ) + (pad "5" thru_hole oval + (at 0 10.16 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 36 "D4") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "bcc531b1-f3dc-4cf6-aed8-72a2620bb261") + ) + (pad "6" thru_hole oval + (at 0 12.7 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 34 "D5") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "9b356db6-5226-4c95-b159-ec1aeb8cbbdf") + ) + (pad "7" thru_hole oval + (at 0 15.24 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "D6") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "6e1d5b60-8e3d-4328-8c33-0b4c12f1bf60") + ) + (pad "8" thru_hole oval + (at 0 17.78 180) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 35 "D7") + (pinfunction "Pin_8") + (pintype "passive") + (uuid "9eeae1cf-aef2-48f4-a111-8e758953a094") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x08_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SMA_Handsoldering" + (layer "F.Cu") + (uuid "7762fd94-ec98-43e2-b779-cbe6779e80b9") + (at 67.056 82.804 180) + (descr "Diode SMA (DO-214AC) Handsoldering") + (tags "Diode SMA (DO-214AC) Handsoldering") + (property "Reference" "D1" + (at 0 -2.5 180) + (layer "F.SilkS") + (uuid "8859d391-c049-4f90-9522-01fbc9838929") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "IN4007" + (at 0 2.6 180) + (layer "F.Fab") + (uuid "1fce0bd0-1829-4a5a-9b68-4f13c848bc0b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SMA_Handsoldering" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2eea48b8-7f5c-4394-976b-c0a6287cd2aa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "829e9ea5-6ab2-42dd-aa0d-1a16352ec080") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Diode" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0b47d885-3e67-4e8c-bba0-9be5cb125a77") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Sim.Device" "D" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "036249c5-aba9-49a0-9696-1c271d14dacd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "46c7df74-03fa-4d2c-80ab-dc06ca83c153") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/d53a53ed-3892-4ed8-a26d-1f991bcf0eff") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -4.51 1.65) + (end 2.5 1.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "97111f67-45d4-42d6-be75-2b4fbeb9f5b7") + ) + (fp_line + (start -4.51 -1.65) + (end 2.5 -1.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "00df7128-e7ae-44f9-8a97-f4e4e36dafe7") + ) + (fp_line + (start -4.51 -1.65) + (end -4.51 1.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "87cc55a1-2116-44e5-941e-8f1d130689ee") + ) + (fp_line + (start 4.5 1.75) + (end -4.5 1.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5ea1a3eb-3188-4177-a62f-ff707951b620") + ) + (fp_line + (start 4.5 -1.75) + (end 4.5 1.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f32b2180-6c13-42c3-901f-2d37b50a8274") + ) + (fp_line + (start -4.5 1.75) + (end -4.5 -1.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ba0c6b33-7db6-4a92-acde-fbbc32f6bc62") + ) + (fp_line + (start -4.5 -1.75) + (end 4.5 -1.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "462ed2e9-7ae4-4bdf-803a-7def76c17f8f") + ) + (fp_line + (start 2.3 1.5) + (end -2.3 1.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d50d3809-a0ed-40f9-8b59-f2d5e41271c6") + ) + (fp_line + (start 2.3 -1.5) + (end 2.3 1.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "826465d2-647d-4dfc-8352-e3dd9e58783c") + ) + (fp_line + (start 2.3 -1.5) + (end -2.3 -1.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7a8da6ad-045d-4bc5-860c-ae50a4558086") + ) + (fp_line + (start 0.50118 0.75032) + (end 0.50118 -0.79908) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68380680-698e-439d-9352-222827efc667") + ) + (fp_line + (start 0.50118 0.00102) + (end 1.4994 0.00102) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "07d43ec4-f261-4a31-bb8f-23eb05c5193e") + ) + (fp_line + (start -0.64944 0.00102) + (end 0.50118 0.75032) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6911f42a-de8c-4256-88cc-cf4036ee5a87") + ) + (fp_line + (start -0.64944 0.00102) + (end 0.50118 -0.79908) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2a4e1b97-3068-4a0f-bbd5-29f44e0f9593") + ) + (fp_line + (start -0.64944 0.00102) + (end -1.55114 0.00102) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8ae952f6-6099-4efe-b377-c1844964c36f") + ) + (fp_line + (start -0.64944 -0.79908) + (end -0.64944 0.80112) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7b8a1c9c-8a60-4ef9-abd9-b40f44cbaac1") + ) + (fp_line + (start -2.3 1.5) + (end -2.3 -1.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2d8adc10-9348-48bb-96e4-29d204a4e874") + ) + (fp_text user "${REFERENCE}" + (at 0 -2.5 180) + (layer "F.Fab") + (uuid "60b759bd-2f81-4bb7-a41e-b973ca8dabf5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -2.5 0 180) + (size 3.5 1.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1388888889) + (net 9 "VIN") + (pinfunction "K") + (pintype "passive") + (uuid "78c48b29-4d6a-4c41-b399-ae5d303cbc0a") + ) + (pad "2" smd roundrect + (at 2.5 0 180) + (size 3.5 1.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1388888889) + (net 12 "Net-(D1-A)") + (pinfunction "A") + (pintype "passive") + (uuid "e111ab9d-e535-4ea2-ae10-449a39f4c4f7") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SMA.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Crystal:Crystal_HC49-4H_Vertical" + (layer "F.Cu") + (uuid "7ffed971-e555-4ec4-b033-2b2b516adf86") + (at 86.868 100.076) + (descr "Crystal THT HC-49-4H http://5hertz.com/pdfs/04404_D.pdf") + (tags "THT crystalHC-49-4H") + (property "Reference" "12mhz1" + (at 2.44 -3.525 0) + (layer "F.SilkS") + (uuid "e30b3597-dad3-4a24-985c-95e3d8f4352b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Crystal" + (at 2.44 3.525 0) + (layer "F.Fab") + (uuid "3c734f97-3c27-44e3-9f07-e6c28aba5a5b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Crystal:Crystal_HC49-4H_Vertical" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9c6367d2-e9a9-4ed3-bc2d-3daaa6d4770a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7d28301a-99bb-4c5f-840f-61a5f01b0fd3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Two pin crystal" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e68bb818-2ab8-4a80-bd50-fc0047059fa6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Crystal*") + (path "/200d9cdd-d1ec-46c6-ba11-39873e7e9aee") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -0.76 -2.525) + (end 5.64 -2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a18c7d52-d910-4311-b9b7-775308a7b2ea") + ) + (fp_line + (start -0.76 2.525) + (end 5.64 2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a35b7735-bb15-41bc-a783-0adc08b5bb23") + ) + (fp_arc + (start -0.76 2.525) + (mid -3.285 0) + (end -0.76 -2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b86971f4-2c76-49be-aa14-648ff8e097a9") + ) + (fp_arc + (start 5.64 -2.525) + (mid 8.165 0) + (end 5.64 2.525) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "898f7a27-b44d-43da-ad0b-cbcac0b8065c") + ) + (fp_line + (start -3.6 -2.8) + (end -3.6 2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6e9ca634-e529-4742-a91e-614414d6e725") + ) + (fp_line + (start -3.6 2.8) + (end 8.5 2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b1407d4a-585b-47b1-bcca-cb9bc2d14d34") + ) + (fp_line + (start 8.5 -2.8) + (end -3.6 -2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "93b49747-b8a0-4a13-b196-a781c6717180") + ) + (fp_line + (start 8.5 2.8) + (end 8.5 -2.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4e5b834b-01a5-4e4c-8219-d700dfb949d6") + ) + (fp_line + (start -0.76 -2.325) + (end 5.64 -2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "411e6127-69b8-404d-b6f9-a9c059467c1e") + ) + (fp_line + (start -0.76 2.325) + (end 5.64 2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0a7873b9-84f2-42b4-9d5a-4f816770d72c") + ) + (fp_line + (start -0.56 -2) + (end 5.44 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "02f87b3d-77cf-4462-b0af-8f52551c86f4") + ) + (fp_line + (start -0.56 2) + (end 5.44 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4e69409b-8fc7-41e2-a287-6e1cad601eb6") + ) + (fp_arc + (start -0.76 2.325) + (mid -3.085 0) + (end -0.76 -2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d6d026e9-efa6-408d-893a-d419abe320af") + ) + (fp_arc + (start -0.56 2) + (mid -2.56 0) + (end -0.56 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "05a4941c-9b67-4f6a-9066-26a735689f0d") + ) + (fp_arc + (start 5.44 -2) + (mid 7.44 0) + (end 5.44 2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d8e6b77-c148-4702-94fe-320232c16c63") + ) + (fp_arc + (start 5.64 -2.325) + (mid 7.965 0) + (end 5.64 2.325) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "95ec1c10-c51b-476a-addd-e01aaa7823b0") + ) + (fp_text user "${REFERENCE}" + (at 2.44 0 0) + (layer "F.Fab") + (uuid "38c2cc80-07fc-418b-ada4-87a541f5b807") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole circle + (at 0 0) + (size 1.5 1.5) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "Net-(U3-XI)") + (pinfunction "1") + (pintype "passive") + (uuid "69c47588-f643-42fd-9132-3487625fc2bd") + ) + (pad "2" thru_hole circle + (at 4.88 0) + (size 1.5 1.5) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 4 "Net-(U3-XO)") + (pinfunction "2") + (pintype "passive") + (uuid "269c9f92-4ed6-4745-a4d5-b133d50ad836") + ) + (model "${KICAD8_3DMODEL_DIR}/Crystal.3dshapes/Crystal_HC49-4H_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "82d0e38c-3c74-45b9-b5d3-5e9bf1247a08") + (at 80.788 121.92 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R2" + (at 0 -1.65 180) + (layer "F.SilkS") + (uuid "9f27dbe8-e4f3-4a56-b349-5615d9a12df3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "330R" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "6754fd09-fe8f-4ea9-aeda-17b32101eee0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "31e48fc6-39dd-420f-a066-4dc101e2d9cc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2b08f036-8705-49c8-83d8-8749ebe42c7e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e90c777e-d3dd-47ed-bdb6-5d9b04ea58f1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/a773a9c3-3490-4a26-9c94-ffb4d3047a05") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "84a19ce3-fa26-4c7f-9e1d-30a3c511f0b0") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ea91c2ff-0765-4bff-90bb-67da24fb3fe7") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "caab888a-3669-4f89-8025-69f367a7cfcb") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "91e23939-d4c0-42e0-8d32-1b0a563f450f") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6d82498b-18d0-4e8f-a05b-4853a685ead5") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fd6e2f7f-440d-402f-b535-4e12454db421") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4f614d34-2d08-4ef4-b4ff-9d71703fc1cb") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bb4c05aa-43cf-48e5-b04a-bf43f45a9b98") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "474645fb-147c-4768-aea1-4d46947e8b57") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f5be807-5eb6-4844-81b9-1d5733ede63b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "e617f51c-54f2-40bc-b718-1f6f1161b295") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "bc988177-a65a-42c1-b55e-ee58c40c6b6c") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 15 "Net-(D3-K)") + (pintype "passive") + (uuid "9aabe978-eb53-4af3-9ecd-ab4b38955cfa") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "87c262f8-70ba-4693-803b-a2f927ec224f") + (at 89.408 131.757) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R4" + (at 0 -1.65 0) + (layer "F.SilkS") + (uuid "026b92fe-2fc1-4231-84b3-c3e1378142df") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "330R" + (at 0 1.65 0) + (layer "F.Fab") + (uuid "55042ed3-700f-4f25-9391-7d2482d55bd9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6ecbd290-df97-4f85-8b27-6cd0a0c35d93") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7e6f8f19-93e4-431f-b43e-666cef8e49b8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "633dc85c-a149-4677-8355-f11b06783291") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/cc84baab-7bfb-4f86-a4e7-d4ccf7023ed5") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3fb6c26b-77a2-4e37-a18a-cf46ab153541") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "992793ba-88ee-4807-b8cd-ebfba304d2e7") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3d975bff-e17d-4dc3-8903-dd6a978a4041") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e0bcf02d-38c6-4e71-b253-eb1212385b17") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0b5982b9-e5f9-4a6e-924d-27652c666110") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fb1155f6-953c-4b1f-99bc-f5aee01ac478") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "798ff21e-52cd-4ea9-850d-a53636460921") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9e5f6223-7d63-4145-92d4-b8d84f1fb3b0") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "64dff288-dbca-488c-948d-6f5bfcb98ae6") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "43f42705-03a6-42b7-a167-7fdf11509d8f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "d615f027-4cb9-409f-866e-7078f5bd07f8") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "4dfa34fd-9809-4792-bd09-4b6d1a0128fa") + ) + (pad "2" smd roundrect + (at 1 0) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 19 "Net-(D5-K)") + (pintype "passive") + (uuid "c17aae06-4a79-4a3c-81e3-d4ccb9486d06") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_BarrelJack:BarrelJack_Horizontal" + (layer "F.Cu") + (uuid "97013293-eac5-4182-98ad-05bf97335d5b") + (at 64.516 78.136 -90) + (descr "DC Barrel Jack") + (tags "Power Jack") + (property "Reference" "J6" + (at -8.45 5.75 90) + (layer "F.SilkS") + (uuid "ef135fad-ba9c-4802-a8b7-9ad179ac0aad") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Barrel_Jack_Switch" + (at -6.2 -5.5 90) + (layer "F.Fab") + (uuid "883a1654-5902-4bb9-afc1-24f2fd31f64c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_BarrelJack:BarrelJack_Horizontal" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8c68c2f0-639e-4cae-9a6d-58f029fb59ba") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9393ee8a-9896-4d93-92b5-cedd37244670") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "DC Barrel Jack with an internal switch" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "872fa848-f565-44d2-bfeb-2c4d9575f80c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "BarrelJack*") + (path "/165d7be9-d170-4660-b4ed-b374db3378fc") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -13.8 4.6) + (end -13.8 -4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6de083f3-e274-49c2-b030-ed1455b8a45d") + ) + (fp_line + (start -5 4.6) + (end -13.8 4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "eb50baa8-34ed-4e2c-add9-b4ba6969c636") + ) + (fp_line + (start 0.9 4.6) + (end -1 4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "05b8def5-fd07-4b13-8ca4-35b0e428257c") + ) + (fp_line + (start 0.9 1.9) + (end 0.9 4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4cbfa272-dfe4-4580-b0f0-424f7068100b") + ) + (fp_line + (start 1.1 -3.75) + (end 1.1 -4.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e46b9024-e72d-44fe-9991-b7e3598acc94") + ) + (fp_line + (start -13.8 -4.6) + (end 0.9 -4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0fec70c5-29de-496d-b43e-05473ae71c44") + ) + (fp_line + (start 0.9 -4.6) + (end 0.9 -2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f36f5448-cc85-4e11-b554-96d47bf7c90e") + ) + (fp_line + (start 0.05 -4.8) + (end 1.1 -4.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "31b353e1-cd34-4d9b-a62a-52a99e431bb6") + ) + (fp_line + (start -5 6.75) + (end -5 4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3435b746-4466-44c5-8850-e392927a6637") + ) + (fp_line + (start -1 6.75) + (end -5 6.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f76128e9-d92a-4986-a094-60fc28c6f60a") + ) + (fp_line + (start -14 4.75) + (end -14 -4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5c87bd44-789c-42c2-92e5-5fba05745039") + ) + (fp_line + (start -5 4.75) + (end -14 4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "207d19f5-7d0c-4b67-9d62-a278e057ee8a") + ) + (fp_line + (start -1 4.75) + (end -1 6.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "88139e1b-355a-4356-92ac-bb4c749173de") + ) + (fp_line + (start 1 4.75) + (end -1 4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "699c841a-22d9-4744-9362-7a370cfd89b2") + ) + (fp_line + (start 1 2) + (end 1 4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "87e4b6d5-fb6c-416f-96c8-34a9ab2949df") + ) + (fp_line + (start 2 2) + (end 1 2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "21b23e25-f256-4cf5-ad5b-2b1337145450") + ) + (fp_line + (start 1 -2) + (end 2 -2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "54737fcf-78fc-42fa-a1e8-4318b60c062c") + ) + (fp_line + (start 2 -2) + (end 2 2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f58a6488-de27-4af4-965c-676586f75b3b") + ) + (fp_line + (start 1 -4.5) + (end 1 -2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e402d407-6071-477d-b9a9-319edc9aa4cd") + ) + (fp_line + (start 1 -4.5) + (end 1 -4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "036f84c0-00e1-45bd-aed6-e72508c52567") + ) + (fp_line + (start 1 -4.75) + (end -14 -4.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "82075529-7ad6-410e-b59f-2c58ddf40e8d") + ) + (fp_line + (start -13.7 4.5) + (end 0.8 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f90f910c-e470-4756-b48d-0c9b3926feed") + ) + (fp_line + (start 0.8 4.5) + (end 0.8 -3.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6c36f963-acd9-4d97-a3ca-5e66aeafdc69") + ) + (fp_line + (start -13.7 -4.5) + (end -13.7 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "48384a26-e761-4496-bb5c-b338ca1fdb6e") + ) + (fp_line + (start -10.2 -4.5) + (end -10.2 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5ea35bde-acf5-4da5-ae14-2f60a701b5ec") + ) + (fp_line + (start 0 -4.5) + (end -13.7 -4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6e47b6ba-edd2-4c91-8675-feb90b83986c") + ) + (fp_line + (start -0.003213 -4.505425) + (end 0.8 -3.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0925737f-a0dc-46c9-886c-6e98f8057e09") + ) + (fp_text user "${REFERENCE}" + (at -3 -2.95 90) + (layer "F.Fab") + (uuid "e32943ff-f400-4229-991d-6e7ee3e204cb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 3.5 3.5) + (drill oval 1 3) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 12 "Net-(D1-A)") + (pintype "passive") + (uuid "9edf5776-6ded-4440-ab82-451240764631") + ) + (pad "2" thru_hole roundrect + (at -6 0 270) + (size 3 3.5) + (drill oval 1 3) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 2 "GND") + (pintype "passive") + (uuid "3b86a8e5-3fd4-4230-a3db-d5b5c9b67d0e") + ) + (pad "3" thru_hole roundrect + (at -3 4.7 270) + (size 3.5 3.5) + (drill oval 3 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.25) + (net 2 "GND") + (pintype "passive") + (uuid "ee51d337-976e-4e7b-9568-66b76855d93d") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_BarrelJack.3dshapes/BarrelJack_Horizontal.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_THT:TO-220-3_Vertical" + (layer "F.Cu") + (uuid "a0ba6319-2b96-4830-88f8-9a98208738c9") + (at 104.14 101.163 180) + (descr "TO-220-3, Vertical, RM 2.54mm, see https://www.vishay.com/docs/66542/to-220-1.pdf") + (tags "TO-220-3 Vertical RM 2.54mm") + (property "Reference" "U2" + (at 2.54 -4.27 180) + (layer "F.SilkS") + (uuid "6da32dea-1f2a-493d-85fb-b91d7e9aa169") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "LM7805_TO220" + (at 2.54 2.5 180) + (layer "F.Fab") + (uuid "8890f64e-3a1a-47d3-a076-03333620520f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "49b23986-8516-464e-b2ba-57b33f00661e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.onsemi.cn/PowerSolutions/document/MC7800-D.PDF" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d34c7258-e3ff-4a24-8f88-1b6990690097") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Positive 1A 35V Linear Regulator, Fixed Output 5V, TO-220" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dffc6b2a-cd69-455f-8b16-6f585e0a5741") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "TO?220*") + (path "/8de87e36-fa4a-4da5-849e-7b76dae9a411") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start 7.66 -3.27) + (end 7.66 1.371) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4c2da6f1-b3c2-4c21-8431-af712de3680a") + ) + (fp_line + (start 4.391 -3.27) + (end 4.391 -1.76) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "abf080cc-1745-4e39-ad46-0e8fb71751a6") + ) + (fp_line + (start 0.69 -3.27) + (end 0.69 -1.76) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7a4824a-487c-4a19-a7c6-b62014a14cda") + ) + (fp_line + (start -2.58 1.371) + (end 7.66 1.371) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2bad074d-3c53-4725-b835-3c7feaf34a9a") + ) + (fp_line + (start -2.58 -1.76) + (end 7.66 -1.76) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6679700f-a44b-4d53-ae9c-98de6bb61e0a") + ) + (fp_line + (start -2.58 -3.27) + (end 7.66 -3.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "06686449-a69e-4f35-8d15-ba0c6223eb48") + ) + (fp_line + (start -2.58 -3.27) + (end -2.58 1.371) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "73c0650d-2e17-49b4-b753-b1477673b708") + ) + (fp_line + (start 7.79 1.51) + (end 7.79 -3.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e877ab9e-b605-4bab-8b8a-f31410842f05") + ) + (fp_line + (start 7.79 -3.4) + (end -2.71 -3.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cb36bae3-c58d-44d9-8cd8-d20677b03e32") + ) + (fp_line + (start -2.71 1.51) + (end 7.79 1.51) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7d73e9cf-71c4-4120-aeaa-a37d7bfa3002") + ) + (fp_line + (start -2.71 -3.4) + (end -2.71 1.51) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b095478f-dcbc-4757-a012-10c98642ee8d") + ) + (fp_line + (start 7.54 1.25) + (end 7.54 -3.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cbbe6632-c50a-4420-b272-d89a2df1db7b") + ) + (fp_line + (start 7.54 -3.15) + (end -2.46 -3.15) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e142b7a3-3141-4a69-b8f2-ecc2f8110691") + ) + (fp_line + (start 4.39 -3.15) + (end 4.39 -1.88) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "10e75143-e681-48a5-a587-48a02c0aac1f") + ) + (fp_line + (start 0.69 -3.15) + (end 0.69 -1.88) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b4348ad1-9e7d-48ba-af25-974e780b456c") + ) + (fp_line + (start -2.46 1.25) + (end 7.54 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f2f1a0d3-4a01-4628-ab14-184c277a1a58") + ) + (fp_line + (start -2.46 -1.88) + (end 7.54 -1.88) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f672169c-c8a7-406d-b2c2-c319241a0532") + ) + (fp_line + (start -2.46 -3.15) + (end -2.46 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dccc0aae-570d-40ae-84c7-f98bcaabfacc") + ) + (fp_text user "${REFERENCE}" + (at 2.54 -4.27 180) + (layer "F.Fab") + (uuid "a0cce292-970f-48c0-969f-2b7c88c27bf7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 180) + (size 1.905 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "VIN") + (pinfunction "VI") + (pintype "power_in") + (uuid "65663b63-f5c6-42de-9384-fe5f0e7b337a") + ) + (pad "2" thru_hole oval + (at 2.54 0 180) + (size 1.905 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "0d426504-b239-47c0-bf2f-b2f3838cc8e2") + ) + (pad "3" thru_hole oval + (at 5.08 0 180) + (size 1.905 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "5V") + (pinfunction "VO") + (pintype "power_out") + (uuid "77a2c5c3-698b-4a4b-8b9f-39f0a235f0a9") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_THT.3dshapes/TO-220-3_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "kilibimg:souptikSign" + (layer "F.Cu") + (uuid "b0d0aa23-844c-44bc-a967-dd0f296fb570") + (at 64.328387 122.798474 90) + (property "Reference" "G***" + (at 0 0 -90) + (layer "F.SilkS") + (hide yes) + (uuid "53d76ee7-614e-47a1-aa52-7e1513b41ad1") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 -90) + (layer "F.SilkS") + (hide yes) + (uuid "7e383676-4e2c-49a7-9b15-231cab1d73fc") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (property "Footprint" "kilibimg:souptikSign" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5be3e38a-6b77-43c1-a80b-08e270f207f3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e0e56ec7-7998-460a-abba-673404aa826c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1e7ccdb5-0037-46ff-9fb9-9f3c8396563a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy -2.847578 -1.771055) (xy -2.852539 -1.766094) (xy -2.8575 -1.771055) (xy -2.852539 -1.776016) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "bcca2fab-8734-4e0b-95d9-cdd9204b6398") + ) + (fp_poly + (pts + (xy 1.002109 -1.552773) (xy 0.997148 -1.547812) (xy 0.992188 -1.552773) (xy 0.997148 -1.557734) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "8b41961a-f0d0-41e1-923d-9699210cb1bb") + ) + (fp_poly + (pts + (xy -0.119062 -0.937617) (xy -0.124023 -0.932656) (xy -0.128984 -0.937617) (xy -0.124023 -0.942578) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d3ff2102-a1cf-420f-9fff-d5f44717c217") + ) + (fp_poly + (pts + (xy -4.514453 -0.868164) (xy -4.519414 -0.863203) (xy -4.524375 -0.868164) (xy -4.519414 -0.873125) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9d583836-8971-4111-ba8c-5e99e054c2fc") + ) + (fp_poly + (pts + (xy -3.452812 -0.21332) (xy -3.457773 -0.208359) (xy -3.462734 -0.21332) (xy -3.457773 -0.218281) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "e260e194-3d0a-4395-a884-a73c212dad59") + ) + (fp_poly + (pts + (xy -5.526484 0.203398) (xy -5.531445 0.208359) (xy -5.536406 0.203398) (xy -5.531445 0.198438) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "eccaac44-a4af-417c-a687-0ff5f09392ac") + ) + (fp_poly + (pts + (xy -5.516562 0.223242) (xy -5.521523 0.228203) (xy -5.526484 0.223242) (xy -5.521523 0.218281) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3ee63f15-b681-4e5c-a14f-938d23d41944") + ) + (fp_poly + (pts + (xy 0.019844 0.759023) (xy 0.014883 0.763984) (xy 0.009922 0.759023) (xy 0.014883 0.754063) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ed1927ce-19ff-4073-b8df-393af4e6dee2") + ) + (fp_poly + (pts + (xy 0.416719 0.828477) (xy 0.411758 0.833438) (xy 0.406797 0.828477) (xy 0.411758 0.823516) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "8217a0cf-3854-40ed-ac3e-26c149e6fc96") + ) + (fp_poly + (pts + (xy -4.464844 0.89793) (xy -4.469805 0.902891) (xy -4.474766 0.89793) (xy -4.469805 0.892969) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d9f62e7d-8f4a-4e3d-ab76-d9b4feb5242e") + ) + (fp_poly + (pts + (xy -4.484687 1.622227) (xy -4.489648 1.627188) (xy -4.494609 1.622227) (xy -4.489648 1.617266) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d31c6987-d0a3-437a-947a-07aa587d49a1") + ) + (fp_poly + (pts + (xy -2.493698 -3.161771) (xy -2.49251 -3.149996) (xy -2.493698 -3.148542) (xy -2.499596 -3.149904) + (xy -2.500312 -3.155156) (xy -2.496682 -3.163323) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c7deb92a-9159-4c7a-9d87-ea541a73105b") + ) + (fp_poly + (pts + (xy -3.059082 -2.472407) (xy -3.057899 -2.456901) (xy -3.059865 -2.45339) (xy -3.064375 -2.456349) + (xy -3.065076 -2.466413) (xy -3.062653 -2.477) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d4a100a6-5f94-4285-86c9-0dcb987e410a") + ) + (fp_poly + (pts + (xy -3.902604 -2.219193) (xy -3.901417 -2.207418) (xy -3.902604 -2.205964) (xy -3.908503 -2.207326) + (xy -3.909219 -2.212578) (xy -3.905588 -2.220745) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9d19a356-2975-4a45-b8ca-604f670f4c18") + ) + (fp_poly + (pts + (xy 1.068255 -0.780521) (xy 1.069443 -0.768746) (xy 1.068255 -0.767292) (xy 1.062357 -0.768654) + (xy 1.061641 -0.773906) (xy 1.065271 -0.782073) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "025b59fe-a0d2-49be-9c2c-f7b8405e3513") + ) + (fp_poly + (pts + (xy -6.085417 0.558932) (xy -6.084229 0.570707) (xy -6.085417 0.572161) (xy -6.091315 0.570799) + (xy -6.092031 0.565547) (xy -6.088401 0.55738) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "32bce53c-67e5-4b99-aee2-307790a78200") + ) + (fp_poly + (pts + (xy 0.19513 0.588698) (xy 0.196318 0.600473) (xy 0.19513 0.601927) (xy 0.189232 0.600565) (xy 0.188516 0.595313) + (xy 0.192146 0.587146) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "4195f8d1-889a-4f80-921c-1d191752a6e4") + ) + (fp_poly + (pts + (xy -0.955644 0.772046) (xy -0.954461 0.787553) (xy -0.956427 0.791063) (xy -0.960937 0.788104) + (xy -0.961639 0.77804) (xy -0.959215 0.767453) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7a2978a3-a62d-43d2-99a1-da5dde326907") + ) + (fp_poly + (pts + (xy -2.729971 -2.711377) (xy -2.728516 -2.704296) (xy -2.731785 -2.689961) (xy -2.740377 -2.694258) + (xy -2.742975 -2.698064) (xy -2.74173 -2.710807) (xy -2.738599 -2.713533) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "cff8f94f-5e17-4012-ac5b-bbd488ccaccf") + ) + (fp_poly + (pts + (xy 1.270162 -1.116111) (xy 1.275885 -1.10422) (xy 1.274537 -1.100643) (xy 1.264959 -1.091845) (xy 1.260272 -1.101865) + (xy 1.260078 -1.106875) (xy 1.26496 -1.117094) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "36b712c4-5df5-426b-a64f-34922371d2d0") + ) + (fp_poly + (pts + (xy -0.021299 -1.094112) (xy -0.019844 -1.087031) (xy -0.023114 -1.072695) (xy -0.031705 -1.076993) + (xy -0.034303 -1.080799) (xy -0.033059 -1.093542) (xy -0.029927 -1.096267) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "6fe5f6ea-c7aa-4544-841b-f8366c75a0ee") + ) + (fp_poly + (pts + (xy 1.128988 -0.904247) (xy 1.126797 -0.886274) (xy 1.122843 -0.880749) (xy 1.116342 -0.878175) + (xy 1.118234 -0.892715) (xy 1.118505 -0.893764) (xy 1.124389 -0.907064) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "44a3c0ef-cac0-4ded-b228-4114c1a0f695") + ) + (fp_poly + (pts + (xy -0.614386 -0.044581) (xy -0.610623 -0.034196) (xy -0.619353 -0.026471) (xy -0.634498 -0.029196) + (xy -0.638828 -0.035353) (xy -0.636858 -0.047713) (xy -0.630313 -0.049609) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "f140365c-2ba1-45ea-b265-e31c12b391f9") + ) + (fp_poly + (pts + (xy -1.016546 -4.94951) (xy -1.004976 -4.932153) (xy -1.003813 -4.921099) (xy -1.009845 -4.920451) + (xy -1.019291 -4.934771) (xy -1.020553 -4.937488) (xy -1.028364 -4.956051) (xy -1.026948 -4.958962) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "389feaa1-ca40-4bde-bece-b8a450efe3bd") + ) + (fp_poly + (pts + (xy -2.212866 -2.80034) (xy -2.212578 -2.797969) (xy -2.220128 -2.788335) (xy -2.2225 -2.788047) + (xy -2.232134 -2.795597) (xy -2.232422 -2.797969) (xy -2.224872 -2.807602) (xy -2.2225 -2.807891) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1b303287-95df-4472-a772-8910c8e985bb") + ) + (fp_poly + (pts + (xy 1.804656 -2.711248) (xy 1.803011 -2.693581) (xy 1.795859 -2.678906) (xy 1.788983 -2.672195) + (xy 1.78631 -2.682626) (xy 1.786089 -2.691309) (xy 1.789091 -2.711107) (xy 1.795859 -2.718594) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "55b80f42-e7f6-4c5a-901a-7b293b0ffcd9") + ) + (fp_poly + (pts + (xy -3.007666 -2.586952) (xy -3.008877 -2.573023) (xy -3.012977 -2.560287) (xy -3.017996 -2.566149) + (xy -3.020901 -2.572674) (xy -3.024565 -2.590168) (xy -3.022437 -2.596652) (xy -3.012627 -2.598857) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "197b8996-42e4-41ab-bf5a-447cce2c6294") + ) + (fp_poly + (pts + (xy -0.169791 -1.113136) (xy -0.168672 -1.106289) (xy -0.174029 -1.093085) (xy -0.178594 -1.091406) + (xy -0.187396 -1.099443) (xy -0.188516 -1.106289) (xy -0.183158 -1.119493) (xy -0.178594 -1.121172) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "bb6ae4a1-225a-420e-963c-75d5697f7058") + ) + (fp_poly + (pts + (xy -0.050013 -1.044516) (xy -0.049609 -1.041797) (xy -0.052995 -1.032133) (xy -0.053985 -1.031875) + (xy -0.062456 -1.038828) (xy -0.064492 -1.041797) (xy -0.063706 -1.05094) (xy -0.060117 -1.051719) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5db38240-4e1f-40ff-b7e2-b553a76de9a9") + ) + (fp_poly + (pts + (xy 1.197175 -0.946387) (xy 1.195586 -0.942578) (xy 1.182442 -0.933027) (xy 1.179532 -0.932656) + (xy 1.174153 -0.938769) (xy 1.175742 -0.942578) (xy 1.188886 -0.95213) (xy 1.191796 -0.9525) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b354e8d6-1153-49ea-a48c-21226d546fb0") + ) + (fp_poly + (pts + (xy -3.703424 -0.576917) (xy -3.700859 -0.561171) (xy -3.704184 -0.542247) (xy -3.710781 -0.535781) + (xy -3.718923 -0.544065) (xy -3.720703 -0.555039) (xy -3.716147 -0.574033) (xy -3.710781 -0.58043) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "23c2366a-1f22-4308-840f-86bfbd9f761a") + ) + (fp_poly + (pts + (xy -3.747068 -0.521386) (xy -3.747745 -0.509716) (xy -3.764335 -0.506034) (xy -3.76649 -0.506016) + (xy -3.785002 -0.509963) (xy -3.78768 -0.518418) (xy -3.775614 -0.531467) (xy -3.758636 -0.532366) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "2b167ada-a602-431c-91bf-77526aba7a58") + ) + (fp_poly + (pts + (xy -3.851548 -0.458018) (xy -3.849687 -0.446484) (xy -3.853843 -0.430362) (xy -3.859609 -0.426641) + (xy -3.867671 -0.434951) (xy -3.869531 -0.446484) (xy -3.865376 -0.462607) (xy -3.859609 -0.466328) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "02d6ee64-76b5-4a7c-b13c-ac09718e2238") + ) + (fp_poly + (pts + (xy -4.636278 -0.348916) (xy -4.633516 -0.337344) (xy -4.639719 -0.321183) (xy -4.648398 -0.3175) + (xy -4.660519 -0.325772) (xy -4.663281 -0.337344) (xy -4.657077 -0.353504) (xy -4.648398 -0.357187) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c044582c-6cc0-4368-85f5-5f6f34488ded") + ) + (fp_poly + (pts + (xy 2.038082 0.062634) (xy 2.048415 0.076169) (xy 2.043385 0.084357) (xy 2.02747 0.081367) (xy 2.02486 0.079868) + (xy 2.01526 0.066507) (xy 2.015526 0.060335) (xy 2.023585 0.054855) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7f631c73-84ee-4a74-ae6b-8b7861ce7254") + ) + (fp_poly + (pts + (xy 1.950443 0.173339) (xy 1.949648 0.178594) (xy 1.941162 0.188049) (xy 1.939727 0.188516) (xy 1.931838 0.181596) + (xy 1.929805 0.178594) (xy 1.932161 0.170188) (xy 1.939727 0.168672) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "428b7d0b-2462-427d-99a3-50595cc9d15b") + ) + (fp_poly + (pts + (xy 0.176733 0.563935) (xy 0.178594 0.575469) (xy 0.174439 0.591591) (xy 0.168672 0.595313) (xy 0.160611 0.587002) + (xy 0.15875 0.575469) (xy 0.162905 0.559346) (xy 0.168672 0.555625) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ff1e0b0f-5929-462c-80b4-5547bf6f26f9") + ) + (fp_poly + (pts + (xy -1.579257 1.840904) (xy -1.577578 1.845469) (xy -1.585614 1.854271) (xy -1.592461 1.855391) + (xy -1.605665 1.850033) (xy -1.607344 1.845469) (xy -1.599307 1.836666) (xy -1.592461 1.835547) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "6ba0b622-a946-46db-aed9-9c800a645e28") + ) + (fp_poly + (pts + (xy 5.748928 4.786073) (xy 5.749727 4.792266) (xy 5.737498 4.801801) (xy 5.734844 4.802188) (xy 5.721995 4.795004) + (xy 5.719961 4.792266) (xy 5.723077 4.784528) (xy 5.734844 4.782344) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7daf6585-4ab6-4ce4-8cd8-75e078970b03") + ) + (fp_poly + (pts + (xy -0.079611 -1.021102) (xy -0.084224 -1.001124) (xy -0.085397 -0.99796) (xy -0.094954 -0.985138) + (xy -0.105347 -0.982686) (xy -0.109141 -0.990291) (xy -0.103724 -1.003685) (xy -0.094573 -1.017576) + (xy -0.083474 -1.027471) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "fa4f04d5-afd7-43be-a4d6-fc2b2f916cf8") + ) + (fp_poly + (pts + (xy 1.220128 -1.009969) (xy 1.220239 -1.00459) (xy 1.216622 -0.98717) (xy 1.210469 -0.982266) (xy 1.20094 -0.987963) + (xy 1.200699 -0.989707) (xy 1.205656 -1.00362) (xy 1.210469 -1.012031) (xy 1.217706 -1.019823) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1d4c2dd4-4ee6-4576-8631-e0b2269828b4") + ) + (fp_poly + (pts + (xy 1.828105 -2.885475) (xy 1.846772 -2.875619) (xy 1.85043 -2.862461) (xy 1.842323 -2.844718) (xy 1.828105 -2.839447) + (xy 1.811573 -2.840688) (xy 1.806037 -2.854534) (xy 1.805781 -2.862461) (xy 1.808841 -2.881418) + (xy 1.821316 -2.886139) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "ab7dbcd7-a4d6-4cb2-8b6d-db2836e595a8") + ) + (fp_poly + (pts + (xy 1.821547 -2.817079) (xy 1.832976 -2.800087) (xy 1.834577 -2.781585) (xy 1.826494 -2.769482) + (xy 1.820664 -2.768203) (xy 1.806962 -2.769568) (xy 1.805022 -2.770684) (xy 1.799465 -2.799702) + (xy 1.802442 -2.817923) (xy 1.81281 -2.82214) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "52664e3d-feec-46ac-8270-98ec008bbe86") + ) + (fp_poly + (pts + (xy 0.024385 -1.181382) (xy 0.02118 -1.170572) (xy 0.007345 -1.156334) (xy -0.010255 -1.144604) + (xy -0.02228 -1.141016) (xy -0.023207 -1.148024) (xy -0.01452 -1.164974) (xy -0.01397 -1.16582) + (xy 0.003698 -1.186647) (xy 0.017611 -1.188663) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9083eb5f-e737-4790-ad83-a7e14cc3b780") + ) + (fp_poly + (pts + (xy -1.023721 0.863383) (xy -1.015188 0.880996) (xy -1.017141 0.904548) (xy -1.017829 0.906454) + (xy -1.028413 0.921074) (xy -1.038368 0.918957) (xy -1.041797 0.904875) (xy -1.048079 0.882866) + (xy -1.053703 0.875109) (xy -1.061698 0.864642) (xy -1.061145 0.862444) (xy -1.048731 0.860142) + (xy -1.039689 0.858416) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b0b7e940-973b-4286-b02a-0c6d148b0adc") + ) + (fp_poly + (pts + (xy 1.966647 0.116669) (xy 1.971488 0.123333) (xy 1.986408 0.13491) (xy 1.994305 0.135735) (xy 2.010474 0.139717) + (xy 2.014141 0.143867) (xy 2.011209 0.152556) (xy 1.996081 0.156076) (xy 1.975873 0.15383) (xy 1.962051 0.148236) + (xy 1.949097 0.134768) (xy 1.944699 0.119364) (xy 1.950423 0.109746) (xy 1.954116 0.109141) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "592ab9fd-ccd3-454d-ab31-c6ea2cf33ace") + ) + (fp_poly + (pts + (xy 1.786861 -2.607959) (xy 1.788289 -2.590238) (xy 1.784202 -2.582558) (xy 1.777777 -2.563625) + (xy 1.778367 -2.545364) (xy 1.778108 -2.525263) (xy 1.772093 -2.516297) (xy 1.767918 -2.50867) (xy 1.774594 -2.502308) + (xy 1.78152 -2.491879) (xy 1.774617 -2.485729) (xy 1.759904 -2.48824) (xy 1.757288 -2.489701) (xy 1.751134 -2.497909) + (xy 1.751057 -2.514216) (xy 1.757339 -2.543016) (xy 1.761733 -2.559153) (xy 1.77267 -2.592685) (xy 1.781562 -2.608659) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "975ea88e-eb93-4ecd-a245-d3ac840f1490") + ) + (fp_poly + (pts + (xy 1.443603 -0.857134) (xy 1.478365 -0.843965) (xy 1.519069 -0.824352) (xy 1.558456 -0.802194) + (xy 1.589266 -0.781393) (xy 1.597352 -0.774524) (xy 1.622091 -0.746441) (xy 1.631369 -0.720313) + (xy 1.627364 -0.68901) (xy 1.625467 -0.682492) (xy 1.611063 -0.655151) (xy 1.585174 -0.635825) (xy 1.544182 -0.622103) + (xy 1.530374 -0.61911) (xy 1.508527 -0.612635) (xy 1.498306 -0.605457) (xy 1.498203 -0.604806) (xy 1.494978 -0.589455) + (xy 1.492137 -0.581129) (xy 1.491501 -0.56989) (xy 1.500956 -0.571033) (xy 1.51118 -0.570673) (xy 1.513949 -0.556033) + (xy 1.513432 -0.546137) (xy 1.509912 -0.523964) (xy 1.504737 -0.509812) (xy 1.500104 -0.507475) + (xy 1.498203 -0.51983) (xy 1.493776 -0.531331) (xy 1.488281 -0.53082) (xy 1.478178 -0.515863) (xy 1.48051 -0.498164) + (xy 1.493173 -0.486915) (xy 1.498515 -0.486172) (xy 1.518492 -0.478621) (xy 1.526047 -0.461044) + (xy 1.519155 -0.442859) (xy 1.509889 -0.423471) (xy 1.504861 -0.400817) (xy 1.500466 -0.374417) + (xy 1.495727 -0.357187) (xy 1.487987 -0.334885) (xy 1.486133 -0.328542) (xy 1.478697 -0.324911) + (xy 1.462668 -0.338538) (xy 1.456222 -0.345905) (xy 1.437356 -0.365506) (xy 1.422354 -0.376302) + (xy 1.419469 -0.377031) (xy 1.409322 -0.384203) (xy 1.408906 -0.386953) (xy 1.416965 -0.395704) + (xy 1.424109 -0.396875) (xy 1.438044 -0.405138) (xy 1.449534 -0.42363) (xy 1.453555 -0.442903) (xy 1.451804 -0.448965) + (xy 1.455704 -0.455388) (xy 1.462891 -0.456406) (xy 1.476461 -0.461906) (xy 1.478359 -0.466952) + (xy 1.470311 -0.475022) (xy 1.460996 -0.475731) (xy 1.438064 -0.475076) (xy 1.432187 -0.480669) + (xy 1.438574 -0.491015) (xy 1.445211 -0.504071) (xy 1.434573 -0.512018) (xy 1.434316 -0.512117) + (xy 1.422828 -0.523215) (xy 1.423747 -0.545512) (xy 1.424546 -0.567313) (xy 1.412195 -0.583478) + (xy 1.402541 -0.590359) (xy 1.374214 -0.602982) (xy 1.347501 -0.607355) (xy 1.328517 -0.608989) + (xy 1.320903 -0.619326) (xy 1.319609 -0.640039) (xy 1.317116 -0.664379) (xy 1.311046 -0.678716) + (xy 1.310356 -0.679235) (xy 1.303502 -0.693757) (xy 1.302598 -0.720951) (xy 1.307397 -0.75464) (xy 1.314394 -0.77989) + (xy 1.328046 -0.805078) (xy 1.35253 -0.825748) (xy 1.374835 -0.838232) (xy 1.408544 -0.85231) (xy 1.435335 -0.857913) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "de9e075c-71d8-4dd8-8978-a5d804ddb9f0") + ) + (fp_poly + (pts + (xy 2.87727 -1.143257) (xy 2.877344 -1.141358) (xy 2.883742 -1.128867) (xy 2.899764 -1.10912) (xy 2.906786 -1.101659) + (xy 2.926581 -1.076531) (xy 2.930192 -1.057051) (xy 2.92911 -1.053552) (xy 2.91828 -1.033629) (xy 2.902123 -1.010954) + (xy 2.885607 -0.9918) (xy 2.873699 -0.982438) (xy 2.872657 -0.982266) (xy 2.861896 -0.975449) (xy 2.843417 -0.958433) + (xy 2.822077 -0.936369) (xy 2.802732 -0.914409) (xy 2.79024 -0.897705) (xy 2.788047 -0.892516) (xy 2.781584 -0.881924) + (xy 2.764282 -0.860932) (xy 2.73927 -0.833237) (xy 2.7257 -0.818912) (xy 2.686825 -0.781466) (xy 2.657453 -0.759758) + (xy 2.640778 -0.754062) (xy 2.625635 -0.750541) (xy 2.624395 -0.744045) (xy 2.623099 -0.730223) + (xy 2.611637 -0.709989) (xy 2.610098 -0.70798) (xy 2.595999 -0.687307) (xy 2.589639 -0.672553) (xy 2.589609 -0.671979) + (xy 2.583335 -0.659874) (xy 2.567056 -0.638603) (xy 2.548975 -0.617941) (xy 2.522452 -0.584677) + (xy 2.512738 -0.560785) (xy 2.513201 -0.555274) (xy 2.508991 -0.534602) (xy 2.494304 -0.521124) + (xy 2.475904 -0.505113) (xy 2.471075 -0.492161) (xy 2.481102 -0.486223) (xy 2.482949 -0.486167) + (xy 2.491503 -0.47651) (xy 2.496652 -0.448111) (xy 2.497693 -0.431992) (xy 2.500787 -0.392331) (xy 2.505956 -0.353632) + (xy 2.508781 -0.338895) (xy 2.512878 -0.30982) (xy 2.515597 -0.26911) (xy 2.51642 -0.224953) (xy 2.516361 -0.219434) + (xy 2.516548 -0.182282) (xy 2.518071 -0.154198) (xy 2.520625 -0.139839) (xy 2.521584 -0.138903) + (xy 2.526308 -0.130413) (xy 2.527266 -0.10963) (xy 2.525156 -0.083599) (xy 2.520674 -0.059362) (xy 2.514517 -0.043963) + (xy 2.511986 -0.041925) (xy 2.50512 -0.032866) (xy 2.50845 -0.02632) (xy 2.516038 -0.009158) (xy 2.521642 0.01657) + (xy 2.52462 0.044246) (xy 2.524329 0.067251) (xy 2.520128 0.078968) (xy 2.518704 0.079375) (xy 2.512317 0.088506) + (xy 2.512635 0.116045) (xy 2.513846 0.126504) (xy 2.522917 0.193641) (xy 2.53049 0.243565) (xy 2.537022 0.278811) + (xy 2.542968 0.301914) (xy 2.547451 0.313051) (xy 2.558899 0.33617) (xy 2.571088 0.362148) (xy 2.591146 0.397387) + (xy 2.610765 0.414955) (xy 2.618666 0.416719) (xy 2.627399 0.424312) (xy 2.628615 0.440968) (xy 2.622596 0.457502) + (xy 2.617257 0.462676) (xy 2.590453 0.472225) (xy 2.555047 0.476676) (xy 2.520836 0.475406) (xy 2.501282 0.470045) + (xy 2.469731 0.449644) (xy 2.438545 0.422145) (xy 2.412127 0.392337) (xy 2.394879 0.365008) (xy 2.390612 0.349652) + (xy 2.386782 0.321229) (xy 2.378263 0.28943) (xy 2.377669 0.287734) (xy 2.370588 0.245769) (xy 2.374397 0.22527) + (xy 2.378139 0.199824) (xy 2.36772 0.18009) (xy 2.367474 0.179817) (xy 2.357883 0.163652) (xy 2.358879 0.154663) + (xy 2.363081 0.140824) (xy 2.365889 0.112911) (xy 2.367292 0.076645) (xy 2.367277 0.037746) (xy 2.365831 0.001937) + (xy 2.362942 -0.025062) (xy 2.359294 -0.036874) (xy 2.354368 -0.053099) (xy 2.352348 -0.081427) + (xy 2.352867 -0.101366) (xy 2.353264 -0.133528) (xy 2.350714 -0.1584) (xy 2.34799 -0.166626) (xy 2.346191 -0.184606) + (xy 2.349861 -0.191521) (xy 2.356383 -0.211689) (xy 2.355986 -0.243117) (xy 2.348908 -0.278557) + (xy 2.346791 -0.285254) (xy 2.335833 -0.304418) (xy 2.323352 -0.30517) (xy 2.316758 -0.297656) (xy 2.317544 -0.288513) + (xy 2.321133 -0.287734) (xy 2.331237 -0.280532) (xy 2.331641 -0.277812) (xy 2.323637 -0.268936) + (xy 2.317207 -0.267891) (xy 2.30525 -0.258761) (xy 2.296531 -0.234531) (xy 2.296168 -0.232677) (xy 2.287579 -0.204549) + (xy 2.27558 -0.183549) (xy 2.274528 -0.18243) (xy 2.265187 -0.167175) (xy 2.270763 -0.156128) (xy 2.281359 -0.139609) + (xy 2.27568 -0.130069) (xy 2.268224 -0.128984) (xy 2.255737 -0.120525) (xy 2.248191 -0.10418) (xy 2.23723 -0.084708) + (xy 2.224791 -0.079217) (xy 2.207195 -0.072879) (xy 2.183333 -0.057139) (xy 2.174027 -0.049451) + (xy 2.152508 -0.031629) (xy 2.13726 -0.021078) (xy 2.134034 -0.019844) (xy 2.12644 -0.011626) (xy 2.117056 0.008177) + (xy 2.116907 0.008569) (xy 2.107352 0.026983) (xy 2.092637 0.03513) (xy 2.065527 0.036981) (xy 2.065123 0.036982) + (xy 2.035711 0.034074) (xy 2.024189 0.025584) (xy 2.024063 0.024263) (xy 2.020641 0.003883) (xy 2.01804 -0.004149) + (xy 2.018961 -0.017415) (xy 2.027962 -0.019844) (xy 2.041785 -0.012355) (xy 2.043906 -0.004961) + (xy 2.048365 0.008522) (xy 2.057829 0.006688) (xy 2.066425 -0.009179) (xy 2.066625 -0.009922) (xy 2.06627 -0.026101) + (xy 2.060341 -0.030096) (xy 2.058643 -0.035528) (xy 2.066956 -0.044648) (xy 2.103438 -0.044648) + (xy 2.108398 -0.039687) (xy 2.113359 -0.044648) (xy 2.108398 -0.049609) (xy 2.103438 -0.044648) + (xy 2.066956 -0.044648) (xy 2.070226 -0.048235) (xy 2.071191 -0.049049) (xy 2.087343 -0.065891) + (xy 2.093516 -0.078485) (xy 2.101538 -0.088072) (xy 2.108398 -0.089297) (xy 2.121723 -0.094915) + (xy 2.120171 -0.105944) (xy 2.110879 -0.111825) (xy 2.105493 -0.119551) (xy 2.115691 -0.135542) + (xy 2.117082 -0.13713) (xy 2.129721 -0.160251) (xy 2.130581 -0.177627) (xy 2.131596 -0.195444) (xy 2.13785 -0.201905) + (xy 2.148792 -0.214205) (xy 2.156433 -0.234792) (xy 2.171388 -0.262498) (xy 2.202229 -0.289951) + (xy 2.20294 -0.290439) (xy 2.225091 -0.307906) (xy 2.237058 -0.322063) (xy 2.237668 -0.32696) (xy 2.239177 -0.339424) + (xy 2.242794 -0.342583) (xy 2.254315 -0.356326) (xy 2.258002 -0.363802) (xy 2.325026 -0.363802) + (xy 2.326388 -0.357904) (xy 2.331641 -0.357187) (xy 2.339807 -0.360818) (xy 2.338255 -0.363802) + (xy 2.32648 -0.36499) (xy 2.325026 -0.363802) (xy 2.258002 -0.363802) (xy 2.262554 -0.373034) (xy 2.274114 -0.391038) + (xy 2.285237 -0.396875) (xy 2.295807 -0.405812) (xy 2.299701 -0.426641) (xy 2.297863 -0.447749) + (xy 2.286998 -0.455541) (xy 2.27343 -0.456406) (xy 2.24898 -0.452409) (xy 2.234732 -0.444825) (xy 2.223685 -0.439534) + (xy 2.216689 -0.450082) (xy 2.203847 -0.462158) (xy 2.191559 -0.460994) (xy 2.176476 -0.460552) + (xy 2.172891 -0.46566) (xy 2.164787 -0.474853) (xy 2.156851 -0.47625) (xy 2.145298 -0.482328) (xy 2.146 -0.496094) + (xy 2.147139 -0.511943) (xy 2.143677 -0.515937) (xy 2.141411 -0.52419) (xy 2.145259 -0.54463) (xy 2.147033 -0.550664) + (xy 2.156978 -0.574249) (xy 2.171001 -0.583884) (xy 2.188186 -0.585391) (xy 2.218058 -0.592807) + (xy 2.242809 -0.614736) (xy 2.261544 -0.633889) (xy 2.277006 -0.644039) (xy 2.279322 -0.644502) + (xy 2.293048 -0.652379) (xy 2.307634 -0.669552) (xy 2.326726 -0.690822) (xy 2.353597 -0.712359) + (xy 2.359952 -0.716489) (xy 2.401298 -0.744201) (xy 2.424676 -0.76538) (xy 2.430859 -0.77865) (xy 2.439151 -0.788112) + (xy 2.460505 -0.801633) (xy 2.480469 -0.811664) (xy 2.507898 -0.826485) (xy 2.525915 -0.840511) + (xy 2.530078 -0.847751) (xy 2.538041 -0.862781) (xy 2.544245 -0.866517) (xy 2.555798 -0.879495) + (xy 2.561609 -0.899059) (xy 2.567253 -0.917508) (xy 2.582322 -0.930219) (xy 2.609001 -0.940835) + (xy 2.634802 -0.951438) (xy 2.797969 -0.951438) (xy 2.801428 -0.94312) (xy 2.812716 -0.951602) (xy 2.818001 -0.957688) + (xy 2.82524 -0.968445) (xy 2.817401 -0.967717) (xy 2.814255 -0.966548) (xy 2.800278 -0.956859) (xy 2.797969 -0.951438) + (xy 2.634802 -0.951438) (xy 2.640374 -0.953728) (xy 2.666299 -0.968552) (xy 2.672515 -0.973503) + (xy 2.689502 -0.985178) (xy 2.699952 -0.986483) (xy 2.708529 -0.991266) (xy 2.719588 -1.009419) + (xy 2.722233 -1.015358) (xy 2.739651 -1.044074) (xy 2.76239 -1.067092) (xy 2.763463 -1.067848) (xy 2.768349 -1.071562) + (xy 2.892227 -1.071562) (xy 2.893013 -1.06242) (xy 2.896602 -1.061641) (xy 2.906706 -1.068843) (xy 2.907109 -1.071562) + (xy 2.903724 -1.081226) (xy 2.902734 -1.081484) (xy 2.894263 -1.074532) (xy 2.892227 -1.071562) + (xy 2.768349 -1.071562) (xy 2.787952 -1.086464) (xy 2.816567 -1.110605) (xy 2.825538 -1.118691) + (xy 2.853891 -1.142346) (xy 2.870924 -1.150442) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5bab57ef-9486-4b79-8d06-2da7603dab98") + ) + (fp_poly + (pts + (xy 2.685504 -2.945068) (xy 2.696681 -2.938087) (xy 2.69875 -2.926818) (xy 2.703379 -2.900825) (xy 2.707948 -2.889611) + (xy 2.718838 -2.865392) (xy 2.722519 -2.85502) (xy 2.735639 -2.840622) (xy 2.747462 -2.837656) (xy 2.76904 -2.8314) + (xy 2.775157 -2.812851) (xy 2.769045 -2.789928) (xy 2.762357 -2.765238) (xy 2.756769 -2.729899) + (xy 2.754348 -2.703711) (xy 2.751279 -2.663757) (xy 2.747178 -2.636207) (xy 2.740619 -2.613625) + (xy 2.733905 -2.597029) (xy 2.730315 -2.573937) (xy 2.732443 -2.555469) (xy 2.733954 -2.53523) (xy 2.729677 -2.525835) + (xy 2.721219 -2.511385) (xy 2.713226 -2.478451) (xy 2.705577 -2.426468) (xy 2.702548 -2.399864) + (xy 2.698372 -2.365205) (xy 2.694024 -2.347191) (xy 2.687678 -2.342694) (xy 2.677506 -2.348584) + (xy 2.674185 -2.351285) (xy 2.662308 -2.358444) (xy 2.659086 -2.349758) (xy 2.659063 -2.347738) + (xy 2.664065 -2.333773) (xy 2.668984 -2.331641) (xy 2.677704 -2.32427) (xy 2.677737 -2.307416) (xy 2.669995 -2.28896) + (xy 2.663165 -2.281319) (xy 2.652119 -2.262116) (xy 2.651152 -2.229169) (xy 2.651218 -2.228469) + (xy 2.650344 -2.1949) (xy 2.638797 -2.172536) (xy 2.637194 -2.170865) (xy 2.623293 -2.149957) (xy 2.619375 -2.134418) + (xy 2.612191 -2.112312) (xy 2.605082 -2.103927) (xy 2.595324 -2.087953) (xy 2.59573 -2.079188) (xy 2.592592 -2.06503) + (xy 2.586322 -2.060804) (xy 2.577027 -2.049868) (xy 2.574395 -2.024671) (xy 2.575039 -2.009831) + (xy 2.573963 -1.973299) (xy 2.564045 -1.950507) (xy 2.561794 -1.948055) (xy 2.547899 -1.925986) + (xy 2.544044 -1.910931) (xy 2.539126 -1.886804) (xy 2.529976 -1.860352) (xy 2.520418 -1.834844) + (xy 2.515297 -1.815703) (xy 2.508737 -1.785373) (xy 2.498298 -1.753504) (xy 2.486498 -1.726521) + (xy 2.475855 -1.710845) (xy 2.473628 -1.709429) (xy 2.463346 -1.696705) (xy 2.460625 -1.681911) + (xy 2.45471 -1.658755) (xy 2.446382 -1.647562) (xy 2.436604 -1.632644) (xy 2.424092 -1.604786) (xy 2.411097 -1.570258) + (xy 2.399866 -1.535327) (xy 2.392647 -1.506264) (xy 2.391172 -1.493648) (xy 2.385939 -1.480186) + (xy 2.38125 -1.478359) (xy 2.372191 -1.470441) (xy 2.371328 -1.465014) (xy 2.364529 -1.448778) (xy 2.351718 -1.433923) + (xy 2.338761 -1.418369) (xy 2.336747 -1.408671) (xy 2.334914 -1.396495) (xy 2.3252 -1.373383) (xy 2.315946 -1.35565) + (xy 2.302794 -1.327955) (xy 2.296703 -1.306385) (xy 2.297447 -1.298904) (xy 2.295701 -1.285288) + (xy 2.282018 -1.267548) (xy 2.280807 -1.266434) (xy 2.260546 -1.244292) (xy 2.24294 -1.218584) (xy 2.231193 -1.194944) + (xy 2.228511 -1.179005) (xy 2.22977 -1.176741) (xy 2.227107 -1.169537) (xy 2.214625 -1.16427) (xy 2.199765 -1.15638) + (xy 2.193581 -1.139145) (xy 2.192734 -1.1206) (xy 2.190637 -1.09478) (xy 2.185444 -1.07871) (xy 2.183937 -1.077219) + (xy 2.176554 -1.064718) (xy 2.169436 -1.040689) (xy 2.168167 -1.034465) (xy 2.160993 -1.006715) + (xy 2.152369 -0.986726) (xy 2.150944 -0.984746) (xy 2.146651 -0.974275) (xy 2.154312 -0.972123) + (xy 2.158677 -0.967985) (xy 2.150421 -0.95838) (xy 2.134566 -0.94692) (xy 2.116137 -0.937218) (xy 2.100157 -0.932886) + (xy 2.099742 -0.932877) (xy 2.087226 -0.930132) (xy 2.092112 -0.919484) (xy 2.093192 -0.918164) + (xy 2.099076 -0.904843) (xy 2.09582 -0.885496) (xy 2.085862 -0.861113) (xy 2.064432 -0.809822) (xy 2.051882 -0.770221) + (xy 2.048884 -0.744576) (xy 2.049908 -0.740046) (xy 2.04722 -0.724169) (xy 2.039397 -0.71475) (xy 2.027088 -0.695114) + (xy 2.024063 -0.678783) (xy 2.016012 -0.655629) (xy 2.004219 -0.644922) (xy 1.988333 -0.628809) + (xy 1.984375 -0.615393) (xy 1.979341 -0.596274) (xy 1.973649 -0.589855) (xy 1.967575 -0.576308) + (xy 1.968877 -0.559504) (xy 1.969543 -0.540054) (xy 1.96224 -0.535525) (xy 1.951363 -0.527132) (xy 1.937616 -0.50683) + (xy 1.924691 -0.481438) (xy 1.916283 -0.457774) (xy 1.914922 -0.448195) (xy 1.909888 -0.437212) + (xy 1.907422 -0.436562) (xy 1.899111 -0.428327) (xy 1.888514 -0.408121) (xy 1.886928 -0.404316) + (xy 1.875239 -0.376951) (xy 1.864933 -0.355348) (xy 1.864663 -0.354842) (xy 1.857283 -0.331244) + (xy 1.855391 -0.312674) (xy 1.85282 -0.293952) (xy 1.847855 -0.287734) (xy 1.835035 -0.278825) (xy 1.822654 -0.25591) + (xy 1.813306 -0.224711) (xy 1.810265 -0.204761) (xy 1.801589 -0.169054) (xy 1.780303 -0.141674) + (xy 1.776321 -0.138184) (xy 1.757102 -0.119155) (xy 1.746801 -0.103668) (xy 1.74625 -0.100977) (xy 1.738975 -0.089986) + (xy 1.735267 -0.089297) (xy 1.728799 -0.082018) (xy 1.730304 -0.073608) (xy 1.729772 -0.053812) + (xy 1.72254 -0.038239) (xy 1.713605 -0.014972) (xy 1.713847 0.000909) (xy 1.711002 0.021907) (xy 1.695898 0.053771) + (xy 1.683438 0.073846) (xy 1.663941 0.10516) (xy 1.65474 0.126969) (xy 1.653876 0.145376) (xy 1.656596 0.157504) + (xy 1.660721 0.179434) (xy 1.654686 0.19013) (xy 1.646221 0.193734) (xy 1.630326 0.208061) (xy 1.627188 0.222696) + (xy 1.620884 0.246422) (xy 1.611853 0.258343) (xy 1.601665 0.27524) (xy 1.602154 0.285754) (xy 1.599319 0.302368) + (xy 1.588138 0.314201) (xy 1.575012 0.329951) (xy 1.573959 0.342224) (xy 1.572103 0.358791) (xy 1.560711 0.382337) + (xy 1.556219 0.389081) (xy 1.542456 0.409354) (xy 1.536017 0.420763) (xy 1.536089 0.42168) (xy 1.536161 0.429491) + (xy 1.530509 0.448012) (xy 1.521583 0.469867) (xy 1.516986 0.479134) (xy 1.511869 0.498284) (xy 1.513748 0.507087) + (xy 1.51082 0.517083) (xy 1.499201 0.522723) (xy 1.482501 0.52862) (xy 1.480072 0.538133) (xy 1.48863 0.556277) + (xy 1.492446 0.572338) (xy 1.480145 0.577847) (xy 1.465957 0.576644) (xy 1.460213 0.584092) (xy 1.458516 0.598289) + (xy 1.453834 0.621366) (xy 1.447095 0.63253) (xy 1.439066 0.649424) (xy 1.434015 0.676302) (xy 1.43362 0.681644) + (xy 1.42808 0.711244) (xy 1.41387 0.72732) (xy 1.410504 0.729048) (xy 1.393444 0.740703) (xy 1.383761 0.753819) + (xy 1.384788 0.762792) (xy 1.389723 0.763984) (xy 1.394461 0.770777) (xy 1.389632 0.782764) (xy 1.38563 0.799429) + (xy 1.397176 0.813681) (xy 1.401685 0.81697) (xy 1.423789 0.832397) (xy 1.401465 0.832917) (xy 1.384028 0.837068) + (xy 1.379141 0.843359) (xy 1.371649 0.851884) (xy 1.356223 0.852194) (xy 1.346068 0.846667) (xy 1.340034 0.832134) + (xy 1.352789 0.821654) (xy 1.356816 0.820433) (xy 1.364881 0.816858) (xy 1.353767 0.814914) (xy 1.350714 0.814745) + (xy 1.334931 0.812664) (xy 1.333759 0.804929) (xy 1.343016 0.78953) (xy 1.352465 0.770801) (xy 1.348328 0.76206) + (xy 1.346638 0.761388) (xy 1.339974 0.754094) (xy 1.345249 0.73733) (xy 1.348253 0.731475) (xy 1.357182 0.69837) + (xy 1.356054 0.675836) (xy 1.355969 0.674688) (xy 1.37418 0.674688) (xy 1.374633 0.683845) (xy 1.37797 0.684609) + (xy 1.391996 0.677369) (xy 1.394023 0.674688) (xy 1.39357 0.66553) (xy 1.390234 0.664766) (xy 1.376207 0.672006) + (xy 1.37418 0.674688) (xy 1.355969 0.674688) (xy 1.354397 0.653385) (xy 1.363298 0.642335) (xy 1.369722 0.639801) + (xy 1.382945 0.63103) (xy 1.383352 0.623865) (xy 1.383313 0.608413) (xy 1.384433 0.605234) (xy 1.418828 0.605234) + (xy 1.426378 0.614868) (xy 1.42875 0.615156) (xy 1.438384 0.607606) (xy 1.438672 0.605234) (xy 1.431122 0.595601) + (xy 1.42875 0.595313) (xy 1.419116 0.602863) (xy 1.418828 0.605234) (xy 1.384433 0.605234) (xy 1.391661 0.58472) + (xy 1.40474 0.560316) (xy 1.418892 0.542729) (xy 1.424539 0.539082) (xy 1.437202 0.528958) (xy 1.438672 0.524212) + (xy 1.43253 0.519279) (xy 1.42875 0.520898) (xy 1.420836 0.517976) (xy 1.418828 0.507428) (xy 1.422965 0.489783) + (xy 1.428266 0.484518) (xy 1.435491 0.473407) (xy 1.440852 0.450489) (xy 1.44133 0.446484) (xy 1.447265 0.415865) + (xy 1.456524 0.390407) (xy 1.456697 0.390086) (xy 1.465975 0.366862) (xy 1.468438 0.352744) (xy 1.473001 0.330674) + (xy 1.477635 0.319846) (xy 1.488811 0.294885) (xy 1.492602 0.284224) (xy 1.503628 0.271005) (xy 1.514573 0.272049) + (xy 1.525194 0.27443) (xy 1.520218 0.265565) (xy 1.51886 0.263909) (xy 1.511545 0.243106) (xy 1.512976 0.215652) + (xy 1.521384 0.189596) (xy 1.535001 0.172988) (xy 1.539235 0.171195) (xy 1.554625 0.161038) (xy 1.557734 0.152632) + (xy 1.563926 0.140195) (xy 1.568445 0.138906) (xy 1.574724 0.130469) (xy 1.5729 0.11043) (xy 1.570981 0.089122) + (xy 1.580164 0.078972) (xy 1.588223 0.076311) (xy 1.603389 0.068367) (xy 1.604887 0.051929) (xy 1.603329 0.044884) + (xy 1.601919 0.013244) (xy 1.608943 -0.024182) (xy 1.62201 -0.057938) (xy 1.633475 -0.074323) (xy 1.644254 -0.096195) + (xy 1.643856 -0.110289) (xy 1.646251 -0.132937) (xy 1.66087 -0.165187) (xy 1.667596 -0.176368) (xy 1.683969 -0.205189) + (xy 1.694503 -0.229497) (xy 1.696641 -0.239306) (xy 1.702724 -0.257739) (xy 1.717734 -0.281317) + (xy 1.7215 -0.28599) (xy 1.741276 -0.320197) (xy 1.752652 -0.361437) (xy 1.758082 -0.389792) (xy 1.764605 -0.408866) + (xy 1.767479 -0.412614) (xy 1.774064 -0.425557) (xy 1.776016 -0.442175) (xy 1.78341 -0.465995) (xy 1.80082 -0.488027) + (xy 1.816994 -0.510977) (xy 1.914922 -0.510977) (xy 1.919883 -0.506016) (xy 1.924844 -0.510977) + (xy 1.919883 -0.515937) (xy 1.914922 -0.510977) (xy 1.816994 -0.510977) (xy 1.821913 -0.517956) + (xy 1.82569 -0.540051) (xy 1.83137 -0.569824) (xy 1.844993 -0.599546) (xy 1.845469 -0.600273) (xy 1.858761 -0.623901) + (xy 1.865149 -0.642241) (xy 1.865247 -0.643694) (xy 1.869553 -0.659129) (xy 1.880733 -0.686012) + (xy 1.895078 -0.715925) (xy 1.910519 -0.749165) (xy 1.921278 -0.777783) (xy 1.924844 -0.794047) + (xy 1.928013 -0.81006) (xy 1.932285 -0.813671) (xy 1.941721 -0.822067) (xy 1.952598 -0.841958) (xy 1.961369 -0.865628) + (xy 1.964531 -0.883813) (xy 1.968253 -0.903218) (xy 1.977513 -0.930887) (xy 1.980748 -0.938886) + (xy 1.991422 -0.966655) (xy 1.997977 -0.988365) (xy 1.99866 -0.992187) (xy 2.004538 -1.013762) (xy 2.017096 -1.04567) + (xy 2.033517 -1.080821) (xy 2.035052 -1.08383) (xy 2.04277 -1.107847) (xy 2.043906 -1.118658) (xy 2.050324 -1.137704) + (xy 2.065891 -1.159944) (xy 2.067087 -1.161257) (xy 2.085642 -1.18722) (xy 2.097105 -1.213498) (xy 2.108761 -1.240823) + (xy 2.121673 -1.25847) (xy 2.133797 -1.276161) (xy 2.135479 -1.287978) (xy 2.139749 -1.300999) (xy 2.147261 -1.305783) + (xy 2.160982 -1.319699) (xy 2.162969 -1.328615) (xy 2.16781 -1.354013) (xy 2.179601 -1.378806) (xy 2.194244 -1.39572) + (xy 2.202656 -1.399059) (xy 2.21148 -1.401513) (xy 2.205424 -1.406986) (xy 2.199333 -1.420748) (xy 2.198624 -1.444856) + (xy 2.202465 -1.47081) (xy 2.210025 -1.490109) (xy 2.213942 -1.494085) (xy 2.216917 -1.505785) (xy 2.212842 -1.517554) + (xy 2.209011 -1.532896) (xy 2.22203 -1.540639) (xy 2.222737 -1.540827) (xy 2.225274 -1.542852) (xy 2.252266 -1.542852) + (xy 2.257227 -1.537891) (xy 2.262188 -1.542852) (xy 2.257227 -1.547812) (xy 2.252266 -1.542852) + (xy 2.225274 -1.542852) (xy 2.239294 -1.55404) (xy 2.242344 -1.566426) (xy 2.247095 -1.58814) (xy 2.258853 -1.615998) + (xy 2.262188 -1.622227) (xy 2.275023 -1.649856) (xy 2.281743 -1.673643) (xy 2.282031 -1.67755) (xy 2.288749 -1.698548) + (xy 2.304355 -1.719931) (xy 2.323234 -1.751299) (xy 2.329281 -1.78062) (xy 2.333618 -1.808918) (xy 2.340967 -1.829499) + (xy 2.342537 -1.83176) (xy 2.349094 -1.847315) (xy 2.347856 -1.853235) (xy 2.34897 -1.866273) (xy 2.357617 -1.890306) + (xy 2.365963 -1.908178) (xy 2.381485 -1.944243) (xy 2.393286 -1.981187) (xy 2.396122 -1.994235) + (xy 2.403223 -2.021277) (xy 2.414111 -2.032754) (xy 2.42181 -2.033984) (xy 2.437453 -2.03831) (xy 2.440781 -2.043906) + (xy 2.432669 -2.052523) (xy 2.424741 -2.053828) (xy 2.413722 -2.058646) (xy 2.415395 -2.07584) (xy 2.415489 -2.076152) + (xy 2.427308 -2.117449) (xy 2.432672 -2.145468) (xy 2.431817 -2.165614) (xy 2.424974 -2.183291) + (xy 2.420459 -2.191135) (xy 2.409962 -2.212223) (xy 2.407645 -2.225418) (xy 2.408185 -2.226284) + (xy 2.407504 -2.237381) (xy 2.401553 -2.246751) (xy 2.397645 -2.25621) (xy 2.402224 -2.268725) (xy 2.417375 -2.287464) + (xy 2.445184 -2.31559) (xy 2.44991 -2.320172) (xy 2.483357 -2.355114) (xy 2.500987 -2.380806) (xy 2.503445 -2.399077) + (xy 2.491379 -2.411757) (xy 2.483545 -2.415327) (xy 2.473766 -2.423175) (xy 2.47519 -2.425898) (xy 2.500313 -2.425898) + (xy 2.505273 -2.420937) (xy 2.510234 -2.425898) (xy 2.505273 -2.430859) (xy 2.500313 -2.425898) + (xy 2.47519 -2.425898) (xy 2.480229 -2.435531) (xy 2.487431 -2.458127) (xy 2.485247 -2.481302) (xy 2.483452 -2.508475) + (xy 2.491811 -2.521396) (xy 2.499599 -2.531179) (xy 2.493863 -2.53752) (xy 2.489049 -2.544961) (xy 2.530078 -2.544961) + (xy 2.535039 -2.54) (xy 2.54 -2.544961) (xy 2.535039 -2.549922) (xy 2.530078 -2.544961) (xy 2.489049 -2.544961) + (xy 2.482266 -2.555447) (xy 2.481504 -2.581202) (xy 2.488053 -2.599531) (xy 2.510234 -2.599531) + (xy 2.513865 -2.591364) (xy 2.516849 -2.592917) (xy 2.518036 -2.604692) (xy 2.516849 -2.606146) + (xy 2.51095 -2.604784) (xy 2.510234 -2.599531) (xy 2.488053 -2.599531) (xy 2.490602 -2.606665) (xy 2.503912 -2.621099) + (xy 2.507923 -2.633083) (xy 2.50312 -2.640943) (xy 2.495482 -2.662182) (xy 2.494848 -2.691903) (xy 2.500986 -2.720109) + (xy 2.50515 -2.728516) (xy 2.511643 -2.750176) (xy 2.511713 -2.765614) (xy 2.514435 -2.788313) (xy 2.524757 -2.817279) + (xy 2.528636 -2.825145) (xy 2.542676 -2.85515) (xy 2.552499 -2.882428) (xy 2.553706 -2.887266) (xy 2.564144 -2.915364) + (xy 2.574107 -2.931914) (xy 2.586559 -2.945018) (xy 2.595714 -2.942293) (xy 2.600098 -2.936875) + (xy 2.61025 -2.927881) (xy 2.615996 -2.934395) (xy 2.628415 -2.94247) (xy 2.653102 -2.94662) (xy 2.659889 -2.946797) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "524dd6a6-8456-4dbb-bc10-b0126b68aa5b") + ) + (fp_poly + (pts + (xy -2.347086 -3.111919) (xy -2.321685 -3.107909) (xy -2.297494 -3.099699) (xy -2.268311 -3.086267) + (xy -2.265619 -3.084958) (xy -2.229802 -3.065586) (xy -2.211658 -3.050702) (xy -2.209208 -3.040486) + (xy -2.207045 -3.028348) (xy -2.197828 -3.026172) (xy -2.181413 -3.017917) (xy -2.17633 -3.008488) + (xy -2.16456 -2.992324) (xy -2.142603 -2.977924) (xy -2.142087 -2.977694) (xy -2.110789 -2.956011) + (xy -2.088098 -2.925653) (xy -2.079742 -2.897607) (xy -2.072679 -2.877225) (xy -2.05722 -2.854283) + (xy -2.057017 -2.85405) (xy -2.035402 -2.829214) (xy -2.057017 -2.814539) (xy -2.071689 -2.801448) + (xy -2.070702 -2.787498) (xy -2.065733 -2.778411) (xy -2.058869 -2.762494) (xy -2.063974 -2.749767) + (xy -2.080424 -2.735296) (xy -2.100757 -2.721498) (xy -2.114569 -2.715972) (xy -2.115648 -2.716113) + (xy -2.122152 -2.716117) (xy -2.121398 -2.706627) (xy -2.113605 -2.684513) (xy -2.108684 -2.665777) + (xy -2.114702 -2.659328) (xy -2.118566 -2.659062) (xy -2.131606 -2.653313) (xy -2.133203 -2.648555) + (xy -2.127125 -2.642629) (xy -2.123281 -2.64418) (xy -2.113629 -2.647368) (xy -2.115535 -2.639588) + (xy -2.1272 -2.624544) (xy -2.138164 -2.613545) (xy -2.15521 -2.593406) (xy -2.162929 -2.575712) + (xy -2.162969 -2.574782) (xy -2.163837 -2.564767) (xy -2.168407 -2.554514) (xy -2.179626 -2.53958) + (xy -2.20044 -2.515522) (xy -2.207771 -2.507243) (xy -2.22432 -2.482531) (xy -2.232293 -2.458735) + (xy -2.232422 -2.456214) (xy -2.238079 -2.435847) (xy -2.247305 -2.427271) (xy -2.259561 -2.413813) + (xy -2.262187 -2.401405) (xy -2.268318 -2.385) (xy -2.27707 -2.38125) (xy -2.289113 -2.372755) (xy -2.291953 -2.358653) + (xy -2.297562 -2.333253) (xy -2.311631 -2.306429) (xy -2.330025 -2.28367) (xy -2.348608 -2.270463) + (xy -2.359021 -2.269661) (xy -2.367103 -2.266897) (xy -2.365706 -2.259081) (xy -2.36871 -2.242726) + (xy -2.384261 -2.220031) (xy -2.390504 -2.213262) (xy -2.409144 -2.192032) (xy -2.419895 -2.175602) + (xy -2.420937 -2.17188) (xy -2.428913 -2.166107) (xy -2.443262 -2.167775) (xy -2.458474 -2.171198) + (xy -2.456098 -2.166474) (xy -2.44805 -2.159782) (xy -2.436542 -2.143325) (xy -2.440288 -2.12265) + (xy -2.460035 -2.095128) (xy -2.469314 -2.085014) (xy -2.503782 -2.046595) (xy -2.524255 -2.018685) + (xy -2.530078 -2.003704) (xy -2.535424 -1.988858) (xy -2.548174 -1.969174) (xy -2.563397 -1.950927) + (xy -2.576162 -1.940389) (xy -2.580145 -1.940009) (xy -2.586487 -1.936758) (xy -2.586926 -1.932178) + (xy -2.588156 -1.89821) (xy -2.596221 -1.878912) (xy -2.613055 -1.86973) (xy -2.613477 -1.869622) + (xy -2.634103 -1.858822) (xy -2.642954 -1.847109) (xy -2.653144 -1.824988) (xy -2.667473 -1.801295) + (xy -2.679949 -1.785937) (xy -2.686619 -1.774088) (xy -2.695694 -1.750853) (xy -2.698082 -1.74377) + (xy -2.709038 -1.720108) (xy -2.721277 -1.707306) (xy -2.724324 -1.706562) (xy -2.735478 -1.697973) + (xy -2.738437 -1.682426) (xy -2.746191 -1.659655) (xy -2.759188 -1.651704) (xy -2.774806 -1.640064) + (xy -2.77509 -1.626576) (xy -2.778071 -1.608624) (xy -2.789066 -1.602059) (xy -2.804739 -1.587824) + (xy -2.807891 -1.57325) (xy -2.810889 -1.557347) (xy -2.823835 -1.552864) (xy -2.837222 -1.553831) + (xy -2.859976 -1.553349) (xy -2.872534 -1.547568) (xy -2.868584 -1.540583) (xy -2.850644 -1.537575) + (xy -2.832236 -1.536362) (xy -2.831355 -1.531433) (xy -2.839982 -1.524192) (xy -2.850718 -1.510824) + (xy -2.849904 -1.503083) (xy -2.851112 -1.499154) (xy -2.85998 -1.501272) (xy -2.874625 -1.501138) + (xy -2.877344 -1.492931) (xy -2.884141 -1.479859) (xy -2.889746 -1.478207) (xy -2.894911 -1.474777) + (xy -2.887266 -1.468438) (xy -2.879193 -1.460415) (xy -2.884785 -1.458668) (xy -2.896219 -1.450699) + (xy -2.897187 -1.445756) (xy -2.905045 -1.431529) (xy -2.924774 -1.412496) (xy -2.950604 -1.392944) + (xy -2.976768 -1.377158) (xy -2.997498 -1.369425) (xy -3.000194 -1.369219) (xy -3.013452 -1.366892) + (xy -3.009082 -1.358093) (xy -3.008312 -1.357312) (xy -2.997512 -1.339227) (xy -2.999755 -1.324477) + (xy -3.011289 -1.319609) (xy -3.023583 -1.311415) (xy -3.026172 -1.300907) (xy -3.033901 -1.28248) + (xy -3.051755 -1.265442) (xy -3.069788 -1.247803) (xy -3.071557 -1.233617) (xy -3.07416 -1.219081) + (xy -3.085662 -1.213354) (xy -3.10191 -1.201222) (xy -3.105547 -1.189468) (xy -3.112457 -1.173925) + (xy -3.121292 -1.170781) (xy -3.135356 -1.162393) (xy -3.147856 -1.142079) (xy -3.148346 -1.140818) + (xy -3.160416 -1.120066) (xy -3.172938 -1.11595) (xy -3.181842 -1.114801) (xy -3.179941 -1.101266) + (xy -3.17895 -1.085484) (xy -3.183942 -1.081484) (xy -3.190021 -1.074257) (xy -3.188598 -1.066832) + (xy -3.192276 -1.051541) (xy -3.208563 -1.040522) (xy -3.229796 -1.025176) (xy -3.240382 -1.009226) + (xy -3.251739 -0.987393) (xy -3.270282 -0.963429) (xy -3.290595 -0.943309) (xy -3.307263 -0.933012) + (xy -3.309759 -0.932656) (xy -3.324197 -0.940527) (xy -3.326722 -0.945059) (xy -3.333934 -0.952275) + (xy -3.343551 -0.945923) (xy -3.350271 -0.928842) (xy -3.347551 -0.921118) (xy -3.338592 -0.900258) + (xy -3.337177 -0.894018) (xy -3.343004 -0.8779) (xy -3.359669 -0.859914) (xy -3.380063 -0.84665) + (xy -3.391469 -0.843689) (xy -3.400138 -0.83611) (xy -3.398687 -0.824916) (xy -3.400745 -0.803154) + (xy -3.40794 -0.793936) (xy -3.420523 -0.779791) (xy -3.423047 -0.773142) (xy -3.431029 -0.757126) + (xy -3.450859 -0.737716) (xy -3.476364 -0.719828) (xy -3.501372 -0.708374) (xy -3.505776 -0.707287) + (xy -3.532829 -0.695405) (xy -3.564636 -0.671667) (xy -3.579541 -0.657488) (xy -3.606049 -0.632354) + (xy -3.626223 -0.61977) (xy -3.645837 -0.616564) (xy -3.653191 -0.617025) (xy -3.675102 -0.622683) + (xy -3.687716 -0.6319) (xy -3.688277 -0.640647) (xy -3.674032 -0.644897) (xy -3.67227 -0.644922) + (xy -3.651434 -0.653421) (xy -3.637655 -0.673334) (xy -3.636545 -0.696283) (xy -3.636864 -0.69718) + (xy -3.61671 -0.69718) (xy -3.615151 -0.68957) (xy -3.608108 -0.676515) (xy -3.60554 -0.674687) + (xy -3.602072 -0.68279) (xy -3.601641 -0.68957) (xy -3.606833 -0.702777) (xy -3.611251 -0.704453) + (xy -3.61671 -0.69718) (xy -3.636864 -0.69718) (xy -3.636998 -0.697558) (xy -3.634436 -0.709266) + (xy -3.614523 -0.717119) (xy -3.609545 -0.718129) (xy -3.579611 -0.730713) (xy -3.566783 -0.74663) + (xy -3.553861 -0.763828) (xy -3.542245 -0.768945) (xy -3.528655 -0.777299) (xy -3.523734 -0.788789) + (xy -3.515467 -0.808782) (xy -3.508715 -0.816074) (xy -3.503694 -0.825349) (xy -3.511182 -0.832358) + (xy -3.519492 -0.841624) (xy -3.51473 -0.855366) (xy -3.509798 -0.862765) (xy -3.499945 -0.885658) + (xy -3.499413 -0.902379) (xy -3.496378 -0.918885) (xy -3.476277 -0.934248) (xy -3.471987 -0.936464) + (xy -3.448505 -0.947126) (xy -3.432788 -0.952384) (xy -3.431558 -0.9525) (xy -3.416464 -0.959237) + (xy -3.398467 -0.974515) (xy -3.385491 -0.990939) (xy -3.383359 -0.997488) (xy -3.375895 -1.010743) + (xy -3.358099 -1.026714) (xy -3.336867 -1.040537) (xy -3.319095 -1.047349) (xy -3.313694 -1.046626) + (xy -3.306469 -1.050229) (xy -3.303984 -1.064801) (xy -3.298233 -1.088666) (xy -3.289173 -1.101269) + (xy -3.277076 -1.118497) (xy -3.265908 -1.145545) (xy -3.263986 -1.152093) (xy -3.255129 -1.175336) + (xy -3.245124 -1.189467) (xy -3.237205 -1.191344) (xy -3.234531 -1.180703) (xy -3.228229 -1.171058) + (xy -3.226302 -1.170781) (xy -3.22018 -1.179175) (xy -3.218861 -1.193105) (xy -3.215953 -1.205508) + (xy -3.184922 -1.205508) (xy -3.179961 -1.200547) (xy -3.175 -1.205508) (xy -3.179961 -1.210469) + (xy -3.184922 -1.205508) (xy -3.215953 -1.205508) (xy -3.214944 -1.209814) (xy -3.207246 -1.212949) + (xy -3.203343 -1.21543) (xy -3.135312 -1.21543) (xy -3.130352 -1.210469) (xy -3.125391 -1.21543) + (xy -3.130352 -1.220391) (xy -3.135312 -1.21543) (xy -3.203343 -1.21543) (xy -3.197486 -1.219153) + (xy -3.194844 -1.231699) (xy -3.185997 -1.256405) (xy -3.163667 -1.274214) (xy -3.140273 -1.279758) + (xy -3.127632 -1.28187) (xy -3.133385 -1.288426) (xy -3.134118 -1.288896) (xy -3.138729 -1.296739) + (xy -3.134428 -1.311398) (xy -3.119851 -1.335936) (xy -3.101062 -1.363073) (xy -3.078153 -1.394344) + (xy -3.058943 -1.419054) (xy -3.046804 -1.432908) (xy -3.045205 -1.434212) (xy -3.037516 -1.44776) + (xy -3.037408 -1.448594) (xy -3.01625 -1.448594) (xy -3.012351 -1.432467) (xy -3.006945 -1.42875) + (xy -2.966641 -1.42875) (xy -2.96301 -1.420583) (xy -2.960026 -1.422135) (xy -2.958839 -1.43391) + (xy -2.960026 -1.435365) (xy -2.965925 -1.434003) (xy -2.966641 -1.42875) (xy -3.006945 -1.42875) + (xy -3.00003 -1.436987) (xy -2.9999 -1.438672) (xy -2.936875 -1.438672) (xy -2.933245 -1.430505) + (xy -2.93026 -1.432057) (xy -2.929073 -1.443832) (xy -2.93026 -1.445286) (xy -2.936159 -1.443924) + (xy -2.936875 -1.438672) (xy -2.9999 -1.438672) (xy -2.999134 -1.448594) (xy -3.00364 -1.464749) + (xy -3.00844 -1.468437) (xy -3.01479 -1.46011) (xy -3.01625 -1.448594) (xy -3.037408 -1.448594) + (xy -3.036094 -1.458752) (xy -3.028108 -1.478196) (xy -3.016367 -1.488219) (xy -2.998588 -1.50579) + (xy -2.990487 -1.523294) (xy -2.982828 -1.541733) (xy -2.975487 -1.547812) (xy -2.967719 -1.555903) + (xy -2.966641 -1.563281) (xy -2.961831 -1.573551) (xy -2.956719 -1.572617) (xy -2.952467 -1.574271) + (xy -2.933568 -1.574271) (xy -2.932206 -1.568372) (xy -2.926953 -1.567656) (xy -2.918786 -1.571287) + (xy -2.920339 -1.574271) (xy -2.932113 -1.575458) (xy -2.933568 -1.574271) (xy -2.952467 -1.574271) + (xy -2.948909 -1.575655) (xy -2.946797 -1.586914) (xy -2.943107 -1.602383) (xy -2.897187 -1.602383) + (xy -2.892227 -1.597422) (xy -2.887266 -1.602383) (xy -2.892227 -1.607344) (xy -2.897187 -1.602383) + (xy -2.943107 -1.602383) (xy -2.942875 -1.603354) (xy -2.937186 -1.607344) (xy -2.931663 -1.61459) + (xy -2.933158 -1.621891) (xy -2.931773 -1.627187) (xy -2.807891 -1.627187) (xy -2.80034 -1.617554) + (xy -2.797969 -1.617266) (xy -2.788335 -1.624816) (xy -2.788047 -1.627187) (xy -2.795597 -1.636821) + (xy -2.797969 -1.637109) (xy -2.807602 -1.629559) (xy -2.807891 -1.627187) (xy -2.931773 -1.627187) + (xy -2.929166 -1.637156) (xy -2.910732 -1.661652) (xy -2.890959 -1.682052) (xy -2.823568 -1.682052) + (xy -2.822773 -1.676797) (xy -2.814287 -1.667342) (xy -2.812852 -1.666875) (xy -2.804963 -1.673795) + (xy -2.80293 -1.676797) (xy -2.805286 -1.685203) (xy -2.812852 -1.686719) (xy -2.823568 -1.682052) + (xy -2.890959 -1.682052) (xy -2.888199 -1.684899) (xy -2.863097 -1.710483) (xy -2.845006 -1.731813) + (xy -2.837673 -1.744452) (xy -2.837656 -1.744765) (xy -2.829347 -1.753979) (xy -2.817501 -1.756172) + (xy -2.797615 -1.763758) (xy -2.78934 -1.781418) (xy -2.790552 -1.785937) (xy -2.768203 -1.785937) + (xy -2.764573 -1.777771) (xy -2.761589 -1.779323) (xy -2.760401 -1.791098) (xy -2.761589 -1.792552) + (xy -2.767487 -1.79119) (xy -2.768203 -1.785937) (xy -2.790552 -1.785937) (xy -2.793369 -1.796444) + (xy -2.802134 -1.801865) (xy -2.808979 -1.794438) (xy -2.815937 -1.787025) (xy -2.817661 -1.797199) + (xy -2.810113 -1.813849) (xy -2.792692 -1.829881) (xy -2.776662 -1.84393) (xy -2.772897 -1.85495) + (xy -2.772992 -1.855112) (xy -2.769498 -1.864514) (xy -2.758425 -1.870228) (xy -2.741664 -1.884774) + (xy -2.738437 -1.901637) (xy -2.735914 -1.909961) (xy -2.718594 -1.909961) (xy -2.713633 -1.905) + (xy -2.708672 -1.909961) (xy -2.713633 -1.914922) (xy -2.718594 -1.909961) (xy -2.735914 -1.909961) + (xy -2.731559 -1.924328) (xy -2.719658 -1.931612) (xy -2.703553 -1.944636) (xy -2.695273 -1.964551) + (xy -2.685308 -1.98752) (xy -2.665843 -2.016008) (xy -2.650952 -2.033277) (xy -2.627176 -2.062261) + (xy -2.608824 -2.091752) (xy -2.602811 -2.10607) (xy -2.594866 -2.123281) (xy -2.460625 -2.123281) + (xy -2.456995 -2.115114) (xy -2.45401 -2.116667) (xy -2.452823 -2.128442) (xy -2.45401 -2.129896) + (xy -2.459909 -2.128534) (xy -2.460625 -2.123281) (xy -2.594866 -2.123281) (xy -2.592111 -2.129248) + (xy -2.57752 -2.135281) (xy -2.575619 -2.13502) (xy -2.56362 -2.136616) (xy -2.5642 -2.142147) (xy -2.564186 -2.15734) + (xy -2.556245 -2.182279) (xy -2.5435 -2.210228) (xy -2.529074 -2.23445) (xy -2.51609 -2.24821) (xy -2.514723 -2.248859) + (xy -2.501841 -2.261073) (xy -2.500312 -2.267534) (xy -2.492858 -2.281442) (xy -2.476592 -2.296914) + (xy -2.341562 -2.296914) (xy -2.336602 -2.291953) (xy -2.331641 -2.296914) (xy -2.336602 -2.301875) + (xy -2.341562 -2.296914) (xy -2.476592 -2.296914) (xy -2.474471 -2.298932) (xy -2.469917 -2.302324) + (xy -2.450387 -2.320609) (xy -2.44441 -2.336233) (xy -2.444834 -2.337812) (xy -2.44556 -2.357069) + (xy -2.440748 -2.376375) (xy -2.42785 -2.395189) (xy -2.404737 -2.401047) (xy -2.401339 -2.401094) + (xy -2.377632 -2.40423) (xy -2.372443 -2.414007) (xy -2.373864 -2.415977) (xy -2.291953 -2.415977) + (xy -2.286992 -2.411016) (xy -2.282031 -2.415977) (xy -2.286992 -2.420937) (xy -2.291953 -2.415977) + (xy -2.373864 -2.415977) (xy -2.383 -2.428641) (xy -2.388877 -2.441781) (xy -2.379213 -2.45791) + (xy -2.363507 -2.482055) (xy -2.357111 -2.497325) (xy -2.344777 -2.517037) (xy -2.333112 -2.52465) + (xy -2.33256 -2.525117) (xy -2.212578 -2.525117) (xy -2.207617 -2.520156) (xy -2.202656 -2.525117) + (xy -2.207617 -2.530078) (xy -2.212578 -2.525117) (xy -2.33256 -2.525117) (xy -2.318439 -2.537066) + (xy -2.304936 -2.560415) (xy -2.303211 -2.564845) (xy -2.292811 -2.587401) (xy -2.283041 -2.599082) + (xy -2.281389 -2.599531) (xy -2.27369 -2.607792) (xy -2.272109 -2.61816) (xy -2.264903 -2.640082) + (xy -2.257227 -2.649141) (xy -2.246356 -2.66739) (xy -2.241619 -2.692523) (xy -2.235646 -2.723208) + (xy -2.222331 -2.75332) (xy -2.19757 -2.794664) (xy -2.18345 -2.822881) (xy -2.178824 -2.840807) + (xy -2.182546 -2.851279) (xy -2.182659 -2.851394) (xy -2.191002 -2.854104) (xy -2.192734 -2.844602) + (xy -2.196374 -2.829808) (xy -2.200176 -2.827101) (xy -2.214493 -2.825755) (xy -2.238558 -2.823401) + (xy -2.238799 -2.823377) (xy -2.269116 -2.823495) (xy -2.285579 -2.833806) (xy -2.291731 -2.853689) + (xy -2.23778 -2.853689) (xy -2.235046 -2.843587) (xy -2.22135 -2.837911) (xy -2.2133 -2.849466) + (xy -2.212578 -2.8575) (xy -2.216293 -2.873629) (xy -2.221438 -2.877344) (xy -2.232318 -2.869428) + (xy -2.23778 -2.853689) (xy -2.291731 -2.853689) (xy -2.292973 -2.857704) (xy -2.293538 -2.862163) + (xy -2.296853 -2.879611) (xy -2.305206 -2.888345) (xy -2.324078 -2.891439) (xy -2.346523 -2.891896) + (xy -2.376048 -2.891306) (xy -2.3912 -2.887104) (xy -2.397435 -2.876417) (xy -2.399357 -2.864062) + (xy -2.402494 -2.84528) (xy -2.407947 -2.84408) (xy -2.416405 -2.85414) (xy -2.426217 -2.865265) + (xy -2.429899 -2.860414) (xy -2.430544 -2.844389) (xy -2.437172 -2.81268) (xy -2.453322 -2.794147) + (xy -2.47467 -2.790542) (xy -2.496889 -2.803616) (xy -2.505218 -2.81416) (xy -2.518723 -2.830701) + (xy -2.52793 -2.83045) (xy -2.530408 -2.8272) (xy -2.540424 -2.819255) (xy -2.552984 -2.826159) + (xy -2.564339 -2.831648) (xy -2.5714 -2.821397) (xy -2.573999 -2.812216) (xy -2.582925 -2.793523) + (xy -2.59609 -2.792218) (xy -2.607069 -2.792763) (xy -2.605597 -2.782387) (xy -2.600217 -2.763451) + (xy -2.599531 -2.75747) (xy -2.594455 -2.747686) (xy -2.582548 -2.751147) (xy -2.568789 -2.765154) + (xy -2.561434 -2.778125) (xy -2.548122 -2.801407) (xy -2.538016 -2.806168) (xy -2.532658 -2.792401) + (xy -2.532247 -2.778292) (xy -2.535894 -2.757009) (xy -2.549328 -2.74659) (xy -2.565319 -2.742647) + (xy -2.601377 -2.738454) (xy -2.623234 -2.744183) (xy -2.635053 -2.762226) (xy -2.639861 -2.785512) + (xy -2.645402 -2.817283) (xy -2.653363 -2.832744) (xy -2.667259 -2.8354) (xy -2.685107 -2.830628) + (xy -2.708021 -2.819343) (xy -2.716152 -2.807851) (xy -2.708454 -2.799735) (xy -2.694616 -2.797969) + (xy -2.676068 -2.794739) (xy -2.669495 -2.780981) (xy -2.668984 -2.769418) (xy -2.67494 -2.740437) + (xy -2.690318 -2.723846) (xy -2.711387 -2.72282) (xy -2.719265 -2.726632) (xy -2.743085 -2.737457) + (xy -2.755627 -2.732535) (xy -2.758281 -2.718594) (xy -2.764683 -2.702271) (xy -2.773599 -2.69875) + (xy -2.786142 -2.692381) (xy -2.787306 -2.686348) (xy -2.787901 -2.660803) (xy -2.800253 -2.647196) + (xy -2.807891 -2.64418) (xy -2.823974 -2.633405) (xy -2.827734 -2.624253) (xy -2.834868 -2.607142) + (xy -2.850735 -2.602065) (xy -2.857982 -2.60479) (xy -2.862869 -2.615634) (xy -2.857811 -2.623962) + (xy -2.852149 -2.641455) (xy -2.856635 -2.659621) (xy -2.868678 -2.66891) (xy -2.870021 -2.668984) + (xy -2.872049 -2.675001) (xy -2.862648 -2.688621) (xy -2.851074 -2.703445) (xy -2.853384 -2.710924) + (xy -2.860855 -2.71439) (xy -2.881206 -2.71265) (xy -2.90031 -2.694693) (xy -2.910229 -2.675396) + (xy -2.922457 -2.662223) (xy -2.932646 -2.662932) (xy -2.94363 -2.663278) (xy -2.94255 -2.651389) + (xy -2.942101 -2.640164) (xy -2.953036 -2.634708) (xy -2.978344 -2.632834) (xy -3.01526 -2.626536) + (xy -3.032849 -2.613745) (xy -3.042893 -2.603333) (xy -3.046053 -2.605076) (xy -3.050464 -2.604011) + (xy -3.061336 -2.589594) (xy -3.064476 -2.584648) (xy -3.08831 -2.552589) (xy -3.117034 -2.529485) + (xy -3.157231 -2.510408) (xy -3.170039 -2.50562) (xy -3.213066 -2.484347) (xy -3.249655 -2.455708) + (xy -3.273979 -2.424513) (xy -3.277443 -2.416925) (xy -3.28966 -2.403158) (xy -3.297174 -2.401094) + (xy -3.317704 -2.392174) (xy -3.333465 -2.369381) (xy -3.340609 -2.338664) (xy -3.3407 -2.33379) + (xy -3.343089 -2.308518) (xy -3.349326 -2.29227) (xy -3.349631 -2.291947) (xy -3.365774 -2.286575) + (xy -3.385965 -2.29052) (xy -3.400768 -2.300955) (xy -3.403203 -2.307993) (xy -3.403737 -2.317279) + (xy -3.408239 -2.320362) (xy -3.421063 -2.316716) (xy -3.446562 -2.305812) (xy -3.456101 -2.301593) + (xy -3.490888 -2.284282) (xy -3.507034 -2.271153) (xy -3.505155 -2.261607) (xy -3.500003 -2.258857) + (xy -3.498011 -2.254747) (xy -3.508706 -2.253049) (xy -3.528032 -2.244692) (xy -3.534711 -2.233766) + (xy -3.548782 -2.217588) (xy -3.574996 -2.208617) (xy -3.605186 -2.197113) (xy -3.616861 -2.18175) + (xy -3.627511 -2.167298) (xy -3.637112 -2.166843) (xy -3.652002 -2.16347) (xy -3.661625 -2.152201) + (xy -3.676944 -2.137581) (xy -3.691591 -2.138371) (xy -3.710031 -2.13739) (xy -3.717549 -2.126446) + (xy -3.728107 -2.109343) (xy -3.747839 -2.085286) (xy -3.762149 -2.070024) (xy -3.783515 -2.0452) + (xy -3.797272 -2.023062) (xy -3.800078 -2.013322) (xy -3.808113 -1.995074) (xy -3.822402 -1.983338) + (xy -3.840781 -1.970121) (xy -3.866387 -1.947322) (xy -3.890216 -1.923458) (xy -3.91459 -1.898967) + (xy -3.934293 -1.881608) (xy -3.944819 -1.875234) (xy -3.957336 -1.868314) (xy -3.975741 -1.851714) + (xy -3.994077 -1.831679) (xy -4.00639 -1.814453) (xy -4.008437 -1.808529) (xy -4.01502 -1.79662) + (xy -4.03147 -1.777738) (xy -4.038203 -1.771055) (xy -4.056868 -1.750798) (xy -4.067225 -1.734946) + (xy -4.067969 -1.731864) (xy -4.076017 -1.72013) (xy -4.090249 -1.711396) (xy -4.110888 -1.695314) + (xy -4.125479 -1.674396) (xy -4.142731 -1.649952) (xy -4.164865 -1.632807) (xy -4.184149 -1.628228) + (xy -4.207616 -1.626921) (xy -4.216751 -1.613732) (xy -4.216797 -1.612305) (xy -4.222154 -1.599101) + (xy -4.226719 -1.597422) (xy -4.23486 -1.589138) (xy -4.236641 -1.578164) (xy -4.240164 -1.559877) + (xy -4.244406 -1.554106) (xy -4.248775 -1.542089) (xy -4.250219 -1.517933) (xy -4.249912 -1.508872) + (xy -4.250685 -1.480747) (xy -4.257652 -1.469105) (xy -4.261262 -1.468437) (xy -4.27459 -1.47668) + (xy -4.27858 -1.485801) (xy -4.283187 -1.492885) (xy -4.291888 -1.483706) (xy -4.300533 -1.468437) + (xy -4.318188 -1.440879) (xy -4.340631 -1.41365) (xy -4.363183 -1.39169) (xy -4.381165 -1.379938) + (xy -4.385124 -1.379141) (xy -4.396924 -1.371383) (xy -4.408523 -1.353287) (xy -4.416379 -1.332613) + (xy -4.416949 -1.317123) (xy -4.414701 -1.314319) (xy -4.413151 -1.30275) (xy -4.425199 -1.280753) + (xy -4.42962 -1.27473) (xy -4.445868 -1.247446) (xy -4.454497 -1.221292) (xy -4.454922 -1.216092) + (xy -4.459983 -1.195647) (xy -4.469805 -1.190625) (xy -4.482408 -1.182505) (xy -4.484729 -1.173262) + (xy -4.489074 -1.1547) (xy -4.500101 -1.126728) (xy -4.50805 -1.110019) (xy -4.531623 -1.059193) + (xy -4.543364 -1.022677) (xy -4.54463 -1.003588) (xy -4.550411 -0.989231) (xy -4.566999 -0.969148) + (xy -4.575106 -0.96142) (xy -4.592482 -0.944272) (xy -4.599375 -0.933981) (xy -4.598096 -0.932657) + (xy -4.591249 -0.92454) (xy -4.589796 -0.905497) (xy -4.593058 -0.883496) (xy -4.600358 -0.866504) + (xy -4.60402 -0.863036) (xy -4.612024 -0.85219) (xy -4.60616 -0.841302) (xy -4.600666 -0.821658) + (xy -4.604166 -0.813261) (xy -4.612426 -0.790523) (xy -4.61352 -0.780639) (xy -4.620338 -0.759481) + (xy -4.630327 -0.745912) (xy -4.641507 -0.733147) (xy -4.638074 -0.727857) (xy -4.630327 -0.72605) + (xy -4.617718 -0.717612) (xy -4.61369 -0.704418) (xy -4.619949 -0.69521) (xy -4.624179 -0.694531) + (xy -4.630358 -0.688574) (xy -4.629069 -0.685442) (xy -4.628511 -0.670647) (xy -4.634996 -0.648235) + (xy -4.642718 -0.622242) (xy -4.644365 -0.602754) (xy -4.647399 -0.588145) (xy -4.652736 -0.585391) + (xy -4.659043 -0.576413) (xy -4.662771 -0.55317) (xy -4.663281 -0.538196) (xy -4.666267 -0.493452) + (xy -4.674296 -0.454144) (xy -4.685974 -0.425625) (xy -4.695346 -0.415088) (xy -4.702754 -0.408348) + (xy -4.695527 -0.406949) (xy -4.684536 -0.398805) (xy -4.683125 -0.391914) (xy -4.691205 -0.379202) + (xy -4.699904 -0.377031) (xy -4.711355 -0.375905) (xy -4.706483 -0.369635) (xy -4.699841 -0.364629) + (xy -4.689335 -0.352943) (xy -4.688773 -0.336088) (xy -4.693647 -0.3175) (xy -4.698401 -0.293439) + (xy -4.703014 -0.255231) (xy -4.706894 -0.208568) (xy -4.709067 -0.169119) (xy -4.711637 -0.12301) + (xy -4.715001 -0.083968) (xy -4.718702 -0.056407) (xy -4.721917 -0.045095) (xy -4.732763 -0.022064) + (xy -4.737385 0.0056) (xy -4.73414 0.027369) (xy -4.733433 0.028635) (xy -4.719028 0.037779) (xy -4.692893 0.044522) + (xy -4.681756 0.045877) (xy -4.648917 0.050963) (xy -4.620886 0.05908) (xy -4.615507 0.061514) (xy -4.594417 0.068059) + (xy -4.585741 0.063081) (xy -4.571986 0.053328) (xy -4.54918 0.044865) (xy -4.518567 0.03961) (xy -4.477878 0.036201) + (xy -4.433548 0.034755) (xy -4.392015 0.035391) (xy -4.359714 0.038226) (xy -4.347055 0.041174) + (xy -4.32138 0.044397) (xy -4.311028 0.039665) (xy -4.294493 0.034984) (xy -4.260918 0.032862) (xy -4.21296 0.033433) + (xy -4.198133 0.034054) (xy -4.151507 0.035374) (xy -4.11787 0.03447) (xy -4.099952 0.031471) (xy -4.097734 0.029328) + (xy -4.089691 0.020912) (xy -4.082852 0.019844) (xy -4.070731 0.011572) (xy -4.067969 0) (xy -4.062678 -0.016102) + (xy -4.055291 -0.019844) (xy -4.039276 -0.026749) (xy -4.027748 -0.037207) (xy -4.012949 -0.055827) + (xy -3.99028 -0.085831) (xy -3.963283 -0.122368) (xy -3.9355 -0.160588) (xy -3.910472 -0.195641) + (xy -3.891742 -0.222675) (xy -3.88647 -0.230684) (xy -3.87059 -0.249599) (xy -3.856023 -0.257948) + (xy -3.855481 -0.257969) (xy -3.84039 -0.265971) (xy -3.836145 -0.272937) (xy -3.823255 -0.288227) + (xy -3.806408 -0.298838) (xy -3.782969 -0.316245) (xy -3.769683 -0.333559) (xy -3.751741 -0.351109) + (xy -3.310496 -0.351109) (xy -3.308945 -0.347266) (xy -3.300029 -0.3378) (xy -3.298438 -0.337344) + (xy -3.294176 -0.34502) (xy -3.294062 -0.347266) (xy -3.30169 -0.356806) (xy -3.30457 -0.357187) + (xy -3.310496 -0.351109) (xy -3.751741 -0.351109) (xy -3.747618 -0.355142) (xy -3.717739 -0.367109) + (xy -3.512344 -0.367109) (xy -3.508713 -0.358943) (xy -3.505729 -0.360495) (xy -3.505562 -0.362148) + (xy -3.462734 -0.362148) (xy -3.457773 -0.357187) (xy -3.452812 -0.362148) (xy -3.33375 -0.362148) + (xy -3.328789 -0.357187) (xy -3.323828 -0.362148) (xy -3.328789 -0.367109) (xy -3.33375 -0.362148) + (xy -3.452812 -0.362148) (xy -3.457773 -0.367109) (xy -3.462734 -0.362148) (xy -3.505562 -0.362148) + (xy -3.504542 -0.37227) (xy -3.505729 -0.373724) (xy -3.511628 -0.372362) (xy -3.512344 -0.367109) + (xy -3.717739 -0.367109) (xy -3.716503 -0.367604) (xy -3.685247 -0.376772) (xy -3.666714 -0.383646) + (xy -3.41974 -0.383646) (xy -3.418378 -0.377747) (xy -3.413125 -0.377031) (xy -3.404958 -0.380662) + (xy -3.40651 -0.383646) (xy -3.418285 -0.384833) (xy -3.41974 -0.383646) (xy -3.666714 -0.383646) + (xy -3.659036 -0.386494) (xy -3.654913 -0.388411) (xy -3.628872 -0.394092) (xy -3.612049 -0.392068) + (xy -3.594019 -0.390824) (xy -3.586164 -0.40349) (xy -3.389974 -0.40349) (xy -3.388612 -0.397591) + (xy -3.383359 -0.396875) (xy -3.375193 -0.400505) (xy -3.376745 -0.40349) (xy -3.38852 -0.404677) + (xy -3.389974 -0.40349) (xy -3.586164 -0.40349) (xy -3.585104 -0.4052) (xy -3.584695 -0.406707) + (xy -3.579444 -0.419535) (xy -3.569401 -0.423638) (xy -3.549526 -0.419475) (xy -3.527227 -0.411948) + (xy -3.514602 -0.408336) (xy -3.519117 -0.413322) (xy -3.522266 -0.415631) (xy -3.530828 -0.422328) + (xy -3.531591 -0.426486) (xy -3.521486 -0.428886) (xy -3.497445 -0.430309) (xy -3.460254 -0.431432) + (xy -3.426726 -0.431236) (xy -3.402703 -0.428959) (xy -3.393286 -0.425092) (xy -3.393281 -0.424998) + (xy -3.385611 -0.417027) (xy -3.382774 -0.416719) (xy -3.37664 -0.422698) (xy -3.377975 -0.425955) + (xy -3.380107 -0.437613) (xy -3.370675 -0.44015) (xy -3.355859 -0.433326) (xy -3.349027 -0.427076) + (xy -3.329983 -0.414403) (xy -3.316307 -0.414113) (xy -3.305896 -0.415) (xy -3.307852 -0.408566) + (xy -3.306283 -0.394557) (xy -3.294109 -0.372816) (xy -3.275589 -0.348757) (xy -3.254981 -0.327796) + (xy -3.236543 -0.315348) (xy -3.233845 -0.314446) (xy -3.216754 -0.302364) (xy -3.202919 -0.281284) + (xy -3.188269 -0.255026) (xy -3.167611 -0.226035) (xy -3.162993 -0.220416) (xy -3.14579 -0.197173) + (xy -3.136098 -0.178279) (xy -3.135312 -0.174114) (xy -3.128657 -0.159282) (xy -3.124388 -0.156762) + (xy -3.115967 -0.145994) (xy -3.104466 -0.12149) (xy -3.091816 -0.088717) (xy -3.079948 -0.053138) + (xy -3.070796 -0.020218) (xy -3.066289 0.004577) (xy -3.066116 0.008094) (xy -3.061568 0.035183) + (xy -3.055973 0.049543) (xy -3.050599 0.068029) (xy -3.045448 0.099973) (xy -3.041509 0.139001) + (xy -3.041048 0.145629) (xy -3.036674 0.191352) (xy -3.030027 0.236925) (xy -3.022538 0.272559) + (xy -3.022458 0.272852) (xy -3.015431 0.308951) (xy -3.015229 0.337148) (xy -3.016815 0.343525) + (xy -3.019714 0.363618) (xy -3.016126 0.373291) (xy -3.012888 0.387108) (xy -3.012925 0.415071) + (xy -3.016148 0.45105) (xy -3.019789 0.486456) (xy -3.021177 0.513934) (xy -3.02003 0.527749) (xy -3.019922 0.527945) + (xy -3.005183 0.535776) (xy -2.983471 0.529286) (xy -2.960811 0.510977) (xy -2.940492 0.493914) + (xy -2.922414 0.486209) (xy -2.921485 0.486172) (xy -2.899393 0.481765) (xy -2.873562 0.470981) + (xy -2.850922 0.457473) (xy -2.838404 0.444894) (xy -2.837656 0.441986) (xy -2.828755 0.42827) (xy -2.806088 0.416208) + (xy -2.775714 0.408385) (xy -2.75524 0.406797) (xy -2.724189 0.39972) (xy -2.710974 0.386953) (xy -2.696143 0.371353) + (xy -2.685321 0.367109) (xy -2.669197 0.360312) (xy -2.654102 0.347266) (xy -2.636144 0.332351) + (xy -2.62311 0.327422) (xy -2.614555 0.320394) (xy -2.615632 0.312944) (xy -2.61145 0.297431) (xy -2.589664 0.279261) + (xy -2.588035 0.278255) (xy -2.558845 0.262359) (xy -2.543844 0.259201) (xy -2.542112 0.26865) (xy -2.543216 0.271882) + (xy -2.544288 0.288759) (xy -2.533869 0.292589) (xy -2.52466 0.287355) (xy -2.504999 0.281948) (xy -2.483293 0.290055) + (xy -2.466402 0.307457) (xy -2.460955 0.326534) (xy -2.466939 0.351033) (xy -2.481038 0.375525) + (xy -2.498324 0.392847) (xy -2.509344 0.396875) (xy -2.519686 0.403909) (xy -2.520156 0.406797) + (xy -2.511719 0.414443) (xy -2.497005 0.416719) (xy -2.472678 0.420363) (xy -2.466696 0.431452) + (xy -2.477515 0.448702) (xy -2.490524 0.461062) (xy -2.499998 0.458842) (xy -2.511103 0.445333) + (xy -2.527757 0.423253) (xy -2.534517 0.454027) (xy -2.543679 0.479646) (xy -2.555521 0.496623) + (xy -2.567633 0.516033) (xy -2.569766 0.528136) (xy -2.57452 0.542469) (xy -2.584648 0.542115) (xy -2.596885 0.543728) + (xy -2.599531 0.555936) (xy -2.605809 0.571851) (xy -2.614414 0.575469) (xy -2.628293 0.583514) + (xy -2.630537 0.590352) (xy -2.63353 0.61299) (xy -2.640627 0.627083) (xy -2.6512 0.638476) (xy -2.662285 0.658031) + (xy -2.66199 0.671448) (xy -2.663526 0.690374) (xy -2.669989 0.698446) (xy -2.697779 0.730902) (xy -2.715022 0.773232) + (xy -2.718594 0.802108) (xy -2.72197 0.830117) (xy -2.730319 0.85014) (xy -2.732605 0.852558) (xy -2.742979 0.868571) + (xy -2.753674 0.896288) (xy -2.758399 0.913304) (xy -2.76669 0.941221) (xy -2.775037 0.959015) (xy -2.779115 0.962422) + (xy -2.785415 0.971055) (xy -2.787717 0.992511) (xy -2.78576 1.020125) (xy -2.782072 1.038252) (xy -2.767677 1.05884) + (xy -2.740259 1.066065) (xy -2.70154 1.059844) (xy -2.66134 1.04407) (xy -2.629189 1.02704) (xy -2.603413 1.010306) + (xy -2.591527 0.999629) (xy -2.573285 0.985423) (xy -2.560675 0.982266) (xy -2.543536 0.975516) + (xy -2.520489 0.958402) (xy -2.509147 0.947645) (xy -2.507256 0.945885) (xy -2.338255 0.945885) + (xy -2.336893 0.951784) (xy -2.331641 0.9525) (xy -2.323474 0.94887) (xy -2.325026 0.945885) (xy -2.336801 0.944698) + (xy -2.338255 0.945885) (xy -2.507256 0.945885) (xy -2.487318 0.927331) (xy -2.469848 0.914822) + (xy -2.464458 0.912918) (xy -2.450338 0.906425) (xy -2.431067 0.890806) (xy -2.429732 0.889508) + (xy -2.404723 0.870837) (xy -2.379745 0.859798) (xy -2.354238 0.850151) (xy -2.325183 0.834334) + (xy -2.298128 0.816055) (xy -2.278623 0.799021) (xy -2.272109 0.787898) (xy -2.264531 0.776718) + (xy -2.244437 0.758071) (xy -2.21579 0.735544) (xy -2.208276 0.730097) (xy -2.197095 0.721419) (xy -2.154003 0.721419) + (xy -2.149128 0.721109) (xy -2.127278 0.715255) (xy -2.118584 0.714375) (xy -2.108292 0.706121) + (xy -2.098934 0.68763) (xy -2.094256 0.668308) (xy -2.096371 0.658603) (xy -2.104556 0.663015) (xy -2.121279 0.678489) + (xy -2.133435 0.691312) (xy -2.15029 0.711823) (xy -2.154003 0.721419) (xy -2.197095 0.721419) (xy -2.176993 0.705817) + (xy -2.152305 0.68302) (xy -2.138783 0.666011) (xy -2.137867 0.663829) (xy -2.124719 0.646301) (xy -2.122178 0.64461) + (xy -2.083594 0.64461) (xy -2.076321 0.65007) (xy -2.068711 0.64851) (xy -2.055656 0.641467) (xy -2.053828 0.638899) + (xy -2.06193 0.635431) (xy -2.068711 0.635) (xy -2.081917 0.640192) (xy -2.083594 0.64461) (xy -2.122178 0.64461) + (xy -2.101172 0.630629) (xy -2.09752 0.629) (xy -2.075369 0.616105) (xy -2.064107 0.60243) (xy -2.06375 0.60014) + (xy -2.055489 0.588169) (xy -2.043906 0.585391) (xy -2.027199 0.587342) (xy -2.027154 0.595423) + (xy -2.039654 0.609487) (xy -2.049681 0.621372) (xy -2.044812 0.624947) (xy -2.040442 0.625078) + (xy -2.027892 0.61633) (xy -2.019079 0.59521) (xy -2.009205 0.568229) (xy -1.996838 0.549662) (xy -1.98669 0.536222) + (xy -1.99202 0.526965) (xy -1.995168 0.52477) (xy -2.002905 0.515857) (xy -1.994709 0.505347) (xy -1.989336 0.501322) + (xy -1.960107 0.477626) (xy -1.942983 0.457908) (xy -1.940407 0.445384) (xy -1.939694 0.442996) + (xy -1.839409 0.442996) (xy -1.838876 0.44977) (xy -1.827356 0.455693) (xy -1.816647 0.44582) (xy -1.809195 0.431524) + (xy -1.803232 0.413731) (xy -1.805177 0.406797) (xy -1.817752 0.413355) (xy -1.831151 0.427957) + (xy -1.839409 0.442996) (xy -1.939694 0.442996) (xy -1.93718 0.434575) (xy -1.926219 0.425197) (xy -1.913077 0.410832) + (xy -1.912012 0.400068) (xy -1.910837 0.39026) (xy -1.78263 0.39026) (xy -1.781268 0.396159) (xy -1.776016 0.396875) + (xy -1.767849 0.393245) (xy -1.769401 0.39026) (xy -1.781176 0.389073) (xy -1.78263 0.39026) (xy -1.910837 0.39026) + (xy -1.910619 0.388441) (xy -1.906179 0.386953) (xy -1.898026 0.37817) (xy -1.890703 0.35618) (xy -1.88886 0.3466) + (xy -1.882041 0.317091) (xy -1.870633 0.296808) (xy -1.851679 0.284717) (xy -1.822218 0.27979) (xy -1.779292 0.280996) + (xy -1.719942 0.287304) (xy -1.718965 0.287425) (xy -1.717284 0.296354) (xy -1.716493 0.31772) (xy -1.716484 0.320477) + (xy -1.713091 0.346748) (xy -1.704901 0.364794) (xy -1.704633 0.36507) (xy -1.699421 0.377003) (xy -1.709259 0.392053) + (xy -1.714555 0.397207) (xy -1.730272 0.41611) (xy -1.736328 0.431251) (xy -1.742914 0.444735) (xy -1.760223 0.467151) + (xy -1.784587 0.493774) (xy -1.785937 0.495144) (xy -1.810442 0.52108) (xy -1.828172 0.542079) (xy -1.835515 0.553845) + (xy -1.835547 0.554198) (xy -1.842349 0.567281) (xy -1.850669 0.575668) (xy -1.860854 0.588006) + (xy -1.860746 0.593265) (xy -1.863338 0.602206) (xy -1.87193 0.61018) (xy -1.88203 0.6207) (xy -1.881639 0.634781) + (xy -1.874496 0.65292) (xy -1.851905 0.680489) (xy -1.813928 0.699936) (xy -1.763723 0.710203) (xy -1.704447 0.710231) + (xy -1.703623 0.710159) (xy -1.670111 0.705864) (xy -1.64453 0.70018) (xy -1.63409 0.695481) (xy -1.617506 0.689937) + (xy -1.611834 0.691124) (xy -1.59796 0.687242) (xy -1.582455 0.670986) (xy -1.582137 0.670505) (xy -1.565821 0.650107) + (xy -1.551728 0.646892) (xy -1.534415 0.659741) (xy -1.533638 0.660513) (xy -1.521531 0.669803) + (xy -1.518012 0.663293) (xy -1.51801 0.662994) (xy -1.509564 0.651947) (xy -1.488078 0.638381) (xy -1.472543 0.631198) + (xy -1.44502 0.617713) (xy -1.426147 0.604445) (xy -1.421909 0.598952) (xy -1.408624 0.589355) (xy -1.381746 0.585407) + (xy -1.379672 0.585391) (xy -1.352589 0.582492) (xy -1.337248 0.571196) (xy -1.331302 0.56051) (xy -1.315063 0.54031) + (xy -1.289155 0.521673) (xy -1.282581 0.518342) (xy -1.25704 0.504535) (xy -1.239638 0.491518) (xy -1.237261 0.488652) + (xy -1.221331 0.477739) (xy -1.212328 0.47625) (xy -1.195416 0.468103) (xy -1.185536 0.454513) (xy -1.17089 0.436914) + (xy -1.145639 0.418359) (xy -1.134423 0.412171) (xy -1.101534 0.393526) (xy -1.07422 0.374027) (xy -1.056961 0.357185) + (xy -1.053288 0.348565) (xy -1.050662 0.326533) (xy -1.033997 0.317871) (xy -1.026914 0.3175) (xy -1.007511 0.312693) + (xy -1.002109 0.303666) (xy -0.9958 0.288012) (xy -0.980118 0.266285) (xy -0.974824 0.26029) (xy -0.936963 0.215612) + (xy -0.912961 0.177597) (xy -0.900165 0.141906) (xy -0.899029 0.136387) (xy -0.889652 0.106896) + (xy -0.875895 0.083812) (xy -0.873985 0.081816) (xy -0.860467 0.060854) (xy -0.850122 0.031178) + (xy -0.848798 0.024805) (xy -0.841133 -0.004453) (xy -0.831161 -0.026697) (xy -0.828912 -0.029766) + (xy -0.818756 -0.047293) (xy -0.806463 -0.076223) (xy -0.798953 -0.097446) (xy -0.790872 -0.118001) + (xy -0.585391 -0.118001) (xy -0.579956 -0.109402) (xy -0.569067 -0.113347) (xy -0.562153 -0.123518) + (xy -0.562593 -0.133586) (xy -0.571013 -0.132378) (xy -0.583825 -0.12261) (xy -0.585391 -0.118001) + (xy -0.790872 -0.118001) (xy -0.787135 -0.127506) (xy -0.774915 -0.148974) (xy -0.767913 -0.155558) + (xy -0.755489 -0.168889) (xy -0.754062 -0.176186) (xy -0.752261 -0.183555) (xy -0.535781 -0.183555) + (xy -0.53082 -0.178594) (xy -0.525859 -0.183555) (xy -0.53082 -0.188516) (xy -0.535781 -0.183555) + (xy -0.752261 -0.183555) (xy -0.749601 -0.194434) (xy -0.737145 -0.226884) (xy -0.718082 -0.270253) + (xy -0.716845 -0.272852) (xy -0.684609 -0.272852) (xy -0.679648 -0.267891) (xy -0.674687 -0.272852) + (xy -0.565547 -0.272852) (xy -0.560586 -0.267891) (xy -0.555625 -0.272852) (xy -0.560586 -0.277812) + (xy -0.565547 -0.272852) (xy -0.674687 -0.272852) (xy -0.679648 -0.277812) (xy -0.684609 -0.272852) + (xy -0.716845 -0.272852) (xy -0.693804 -0.321258) (xy -0.693207 -0.322461) (xy -0.545703 -0.322461) + (xy -0.540346 -0.309257) (xy -0.535781 -0.307578) (xy -0.526979 -0.315614) (xy -0.525859 -0.322461) + (xy -0.531217 -0.335665) (xy -0.535781 -0.337344) (xy -0.544584 -0.329307) (xy -0.545703 -0.322461) + (xy -0.693207 -0.322461) (xy -0.685819 -0.337344) (xy -0.677225 -0.357187) (xy -0.53082 -0.357187) + (xy -0.530034 -0.348045) (xy -0.526445 -0.347266) (xy -0.516341 -0.354468) (xy -0.515937 -0.357187) + (xy -0.519323 -0.366851) (xy -0.520313 -0.367109) (xy -0.528784 -0.360157) (xy -0.53082 -0.357187) + (xy -0.677225 -0.357187) (xy -0.671754 -0.369821) (xy -0.6634 -0.393345) (xy -0.533602 -0.393345) + (xy -0.52637 -0.387478) (xy -0.510298 -0.387367) (xy -0.491993 -0.399816) (xy -0.477025 -0.419139) + (xy -0.470963 -0.439653) (xy -0.471353 -0.443627) (xy -0.47483 -0.461239) (xy -0.475929 -0.466328) + (xy -0.480708 -0.461661) (xy -0.491344 -0.444082) (xy -0.496769 -0.434082) (xy -0.511561 -0.411011) + (xy -0.525045 -0.39787) (xy -0.528577 -0.396723) (xy -0.533602 -0.393345) (xy -0.6634 -0.393345) + (xy -0.658407 -0.407406) (xy -0.65559 -0.416719) (xy -0.640904 -0.464931) (xy -0.634104 -0.485044) + (xy -0.490436 -0.485044) (xy -0.478951 -0.476894) (xy -0.460286 -0.483584) (xy -0.456694 -0.485784) + (xy -0.44647 -0.496365) (xy -0.452929 -0.501813) (xy -0.473104 -0.499786) (xy -0.474091 -0.499533) + (xy -0.488887 -0.491946) (xy -0.490436 -0.485044) (xy -0.634104 -0.485044) (xy -0.625822 -0.509541) + (xy -0.611849 -0.546526) (xy -0.603141 -0.565952) (xy -0.444322 -0.565952) (xy -0.444311 -0.565547) + (xy -0.440232 -0.543439) (xy -0.427753 -0.53593) (xy -0.424462 -0.535781) (xy -0.410567 -0.540675) + (xy -0.411009 -0.553267) (xy -0.407568 -0.572258) (xy -0.386037 -0.596146) (xy -0.382644 -0.59903) + (xy -0.361222 -0.619702) (xy -0.354177 -0.63598) (xy -0.35634 -0.64788) (xy -0.35713 -0.6688) (xy -0.344615 -0.696694) + (xy -0.336923 -0.708777) (xy -0.335699 -0.711068) (xy -0.304271 -0.711068) (xy -0.302909 -0.705169) + (xy -0.297656 -0.704453) (xy -0.289489 -0.708083) (xy -0.291042 -0.711068) (xy -0.302817 -0.712255) + (xy -0.304271 -0.711068) (xy -0.335699 -0.711068) (xy -0.318519 -0.743218) (xy -0.305235 -0.780498) + (xy -0.302904 -0.79127) (xy -0.296234 -0.816979) (xy -0.287701 -0.831913) (xy -0.28438 -0.833437) + (xy -0.275639 -0.842149) (xy -0.264209 -0.864882) (xy -0.253618 -0.893619) (xy -0.240807 -0.926703) + (xy -0.226814 -0.952262) (xy -0.216413 -0.963422) (xy -0.201592 -0.977556) (xy -0.198437 -0.98727) + (xy -0.194037 -1.003723) (xy -0.18319 -1.029785) (xy -0.169431 -1.058099) (xy -0.156294 -1.081309) + (xy -0.148418 -1.091406) (xy -0.142874 -1.103559) (xy -0.137152 -1.127535) (xy -0.135904 -1.134805) + (xy -0.127577 -1.165083) (xy -0.115041 -1.18935) (xy -0.112933 -1.191916) (xy -0.102256 -1.20788) + (xy -0.101868 -1.216426) (xy -0.100693 -1.227558) (xy -0.091438 -1.249935) (xy -0.088733 -1.255117) + (xy -0.059531 -1.255117) (xy -0.05457 -1.250156) (xy -0.049609 -1.255117) (xy -0.05457 -1.260078) + (xy -0.059531 -1.255117) (xy -0.088733 -1.255117) (xy -0.081769 -1.268455) (xy -0.067199 -1.298973) + (xy -0.058615 -1.325924) (xy -0.057546 -1.336659) (xy -0.051754 -1.358615) (xy -0.034873 -1.38296) + (xy -0.032391 -1.385535) (xy -0.016362 -1.405138) (xy -0.016027 -1.41565) (xy -0.017645 -1.416442) + (xy -0.028392 -1.428854) (xy -0.026924 -1.451013) (xy -0.014701 -1.475607) (xy -0.004002 -1.494454) + (xy -0.001394 -1.5051) (xy 0.002326 -1.526388) (xy 0.017747 -1.543361) (xy 0.031903 -1.547812) (xy 0.045945 -1.551519) + (xy 0.04701 -1.566241) (xy 0.045796 -1.571578) (xy 0.045584 -1.592799) (xy 0.051398 -1.6198) (xy 0.06087 -1.645587) + (xy 0.071629 -1.663164) (xy 0.078084 -1.666875) (xy 0.084232 -1.675448) (xy 0.086684 -1.69416) (xy 0.088409 -1.726966) + (xy 0.092403 -1.762554) (xy 0.097648 -1.793829) (xy 0.103128 -1.813694) (xy 0.104088 -1.815554) + (xy 0.102053 -1.824277) (xy 0.095512 -1.825625) (xy 0.085 -1.822458) (xy 0.077086 -1.81038) (xy 0.070064 -1.785521) + (xy 0.063983 -1.754045) (xy 0.056219 -1.726758) (xy 0.04563 -1.707625) (xy 0.043873 -1.705919) (xy 0.032867 -1.688917) + (xy 0.024322 -1.66267) (xy 0.023814 -1.660125) (xy 0.016269 -1.635775) (xy 0.006312 -1.621555) (xy 0.004951 -1.62085) + (xy -0.008644 -1.607561) (xy -0.02239 -1.582388) (xy -0.033139 -1.553017) (xy -0.037745 -1.527131) + (xy -0.036944 -1.518548) (xy -0.036345 -1.499888) (xy -0.040619 -1.492667) (xy -0.047552 -1.479582) + (xy -0.050307 -1.460411) (xy -0.051506 -1.443121) (xy -0.054966 -1.444192) (xy -0.059136 -1.453555) + (xy -0.065448 -1.465664) (xy -0.071088 -1.462095) (xy -0.078282 -1.445467) (xy -0.086394 -1.419) + (xy -0.089297 -1.399414) (xy -0.098266 -1.382536) (xy -0.114102 -1.375066) (xy -0.129196 -1.369044) + (xy -0.136528 -1.35703) (xy -0.138804 -1.333138) (xy -0.138906 -1.321097) (xy -0.140533 -1.29041) + (xy -0.147188 -1.272703) (xy -0.161536 -1.261254) (xy -0.162919 -1.260502) (xy -0.179294 -1.246417) + (xy -0.181701 -1.234021) (xy -0.180913 -1.213807) (xy -0.184037 -1.203027) (xy -0.190495 -1.180428) + (xy -0.194323 -1.155509) (xy -0.202212 -1.109231) (xy -0.216013 -1.081439) (xy -0.236004 -1.071594) + (xy -0.237416 -1.071562) (xy -0.247115 -1.063657) (xy -0.248047 -1.058204) (xy -0.252518 -1.039573) + (xy -0.264297 -1.009621) (xy -0.280936 -0.974309) (xy -0.288373 -0.960076) (xy -0.296359 -0.93787) + (xy -0.297656 -0.92783) (xy -0.302972 -0.91454) (xy -0.307578 -0.912812) (xy -0.316596 -0.904874) + (xy -0.3175 -0.899222) (xy -0.321479 -0.874119) (xy -0.331709 -0.839762) (xy -0.345631 -0.802758) + (xy -0.360682 -0.769713) (xy -0.374304 -0.747233) (xy -0.377892 -0.743394) (xy -0.392837 -0.721638) + (xy -0.406804 -0.68535) (xy -0.413418 -0.660384) (xy -0.422038 -0.627652) (xy -0.430396 -0.604282) + (xy -0.436687 -0.595313) (xy -0.436709 -0.595312) (xy -0.442393 -0.586702) (xy -0.444322 -0.565952) + (xy -0.603141 -0.565952) (xy -0.600492 -0.571861) (xy -0.594024 -0.581226) (xy -0.587229 -0.594282) + (xy -0.585391 -0.609566) (xy -0.579313 -0.633126) (xy -0.570508 -0.644922) (xy -0.558529 -0.664258) + (xy -0.555625 -0.680278) (xy -0.551782 -0.700803) (xy -0.545703 -0.709414) (xy -0.537368 -0.723409) + (xy -0.535781 -0.734804) (xy -0.531513 -0.750606) (xy -0.525859 -0.754062) (xy -0.517063 -0.762101) + (xy -0.515937 -0.768981) (xy -0.51147 -0.786721) (xy -0.500329 -0.812678) (xy -0.496094 -0.820924) + (xy -0.483442 -0.849285) (xy -0.476629 -0.873815) (xy -0.47625 -0.878523) (xy -0.470343 -0.90285) + (xy -0.461683 -0.918358) (xy -0.449795 -0.943086) (xy -0.4468 -0.959941) (xy -0.442812 -0.977374) + (xy -0.436562 -0.982266) (xy -0.429104 -0.990757) (xy -0.426641 -1.00707) (xy -0.421631 -1.026786) + (xy -0.412265 -1.031875) (xy -0.400715 -1.041074) (xy -0.387815 -1.066357) (xy -0.380687 -1.086445) + (xy -0.369957 -1.115863) (xy -0.359942 -1.135676) (xy -0.354314 -1.141016) (xy -0.349011 -1.148211) + (xy -0.350401 -1.154719) (xy -0.350981 -1.174235) (xy -0.344324 -1.200802) (xy -0.33332 -1.226809) + (xy -0.320861 -1.244642) (xy -0.314934 -1.248126) (xy -0.302655 -1.25948) (xy -0.290584 -1.285142) + (xy -0.280748 -1.319659) (xy -0.275436 -1.354336) (xy -0.269964 -1.376452) (xy -0.254851 -1.385725) + (xy -0.245566 -1.387241) (xy -0.225747 -1.392435) (xy -0.218281 -1.399643) (xy -0.225797 -1.406649) + (xy -0.230684 -1.406426) (xy -0.240475 -1.413331) (xy -0.246129 -1.435364) (xy -0.246146 -1.435532) + (xy -0.244298 -1.462014) (xy -0.230591 -1.477075) (xy -0.229924 -1.477438) (xy -0.213186 -1.494416) + (xy -0.203753 -1.515205) (xy -0.19553 -1.537317) (xy -0.187069 -1.548706) (xy -0.177204 -1.562861) + (xy -0.174755 -1.571923) (xy -0.165791 -1.591214) (xy -0.159473 -1.596975) (xy -0.152383 -1.606215) + (xy -0.15787 -1.611761) (xy -0.161644 -1.623263) (xy -0.150215 -1.645065) (xy -0.149008 -1.646779) + (xy -0.135464 -1.668297) (xy -0.129062 -1.683385) (xy -0.128984 -1.684321) (xy -0.122786 -1.697224) + (xy -0.107533 -1.716803) (xy -0.10418 -1.720508) (xy -0.083616 -1.754502) (xy -0.07932 -1.779007) + (xy -0.076554 -1.812689) (xy -0.069329 -1.851648) (xy -0.059096 -1.891083) (xy -0.055811 -1.900866) + (xy 0.060315 -1.900866) (xy 0.062139 -1.887997) (xy 0.065526 -1.887843) (xy 0.067894 -1.901123) + (xy 0.066309 -1.90686) (xy 0.061903 -1.910619) (xy 0.060315 -1.900866) (xy -0.055811 -1.900866) + (xy -0.047307 -1.92619) (xy -0.04111 -1.939727) (xy 0.099219 -1.939727) (xy 0.10418 -1.934766) (xy 0.109141 -1.939727) + (xy 0.10418 -1.944687) (xy 0.099219 -1.939727) (xy -0.04111 -1.939727) (xy -0.035415 -1.952167) + (xy -0.024872 -1.964213) (xy -0.023217 -1.964531) (xy -0.015722 -1.969492) (xy 0.049609 -1.969492) + (xy 0.05457 -1.964531) (xy 0.059531 -1.969492) (xy 0.05457 -1.974453) (xy 0.049609 -1.969492) (xy -0.015722 -1.969492) + (xy -0.011269 -1.97244) (xy -0.009922 -1.978587) (xy -0.002417 -1.994539) (xy 0.00248 -1.997676) + (xy 0.009287 -2.004298) (xy 0.001209 -2.012276) (xy -0.007481 -2.02572) (xy 0.002047 -2.039143) + (xy 0.028258 -2.050619) (xy 0.032735 -2.051848) (xy 0.05619 -2.062526) (xy 0.064619 -2.076109) (xy 0.068824 -2.094559) + (xy 0.07206 -2.09943) (xy 0.077587 -2.114016) (xy 0.079375 -2.132872) (xy 0.085253 -2.161422) (xy 0.104354 -2.177474) + (xy 0.138879 -2.182796) (xy 0.141543 -2.182812) (xy 0.181821 -2.178267) (xy 0.208226 -2.165463) + (xy 0.218254 -2.145654) (xy 0.218281 -2.14443) (xy 0.221603 -2.125681) (xy 0.224936 -2.119934) (xy 0.22939 -2.105977) + (xy 0.232143 -2.078728) (xy 0.233226 -2.044175) (xy 0.232665 -2.00831) (xy 0.230489 -1.977122) (xy 0.226727 -1.956602) + (xy 0.224143 -1.952129) (xy 0.218921 -1.942634) (xy 0.224474 -1.937246) (xy 0.230629 -1.923682) + (xy 0.225803 -1.905) (xy 0.219666 -1.880539) (xy 0.215138 -1.846313) (xy 0.213929 -1.827882) (xy 0.210662 -1.795957) + (xy 0.204553 -1.771647) (xy 0.200198 -1.763885) (xy 0.190072 -1.749413) (xy 0.190158 -1.740349) + (xy 0.200124 -1.742331) (xy 0.207235 -1.743023) (xy 0.203892 -1.730514) (xy 0.19614 -1.70947) (xy 0.186026 -1.679284) + (xy 0.182307 -1.667595) (xy 0.170602 -1.636982) (xy 0.157604 -1.612491) (xy 0.15331 -1.606814) (xy 0.141654 -1.586014) + (xy 0.13277 -1.556725) (xy 0.131834 -1.551608) (xy 0.12451 -1.523235) (xy 0.114426 -1.502421) (xy 0.112415 -1.50006) + (xy 0.10109 -1.482724) (xy 0.099219 -1.474553) (xy 0.095125 -1.458908) (xy 0.084724 -1.433076) (xy 0.077821 -1.418211) + (xy 0.064903 -1.386117) (xy 0.057231 -1.356428) (xy 0.056324 -1.346895) (xy 0.052015 -1.326177) + (xy 0.042995 -1.319609) (xy 0.032041 -1.311395) (xy 0.029766 -1.300981) (xy 0.022559 -1.279058) + (xy 0.014883 -1.27) (xy 0.002904 -1.250664) (xy 0 -1.234644) (xy -0.004111 -1.213708) (xy -0.013474 -1.204515) + (xy -0.023636 -1.210289) (xy -0.026256 -1.215633) (xy -0.033177 -1.225956) (xy -0.040501 -1.219075) + (xy -0.042099 -1.201111) (xy -0.038259 -1.193864) (xy -0.034514 -1.177427) (xy -0.043787 -1.159078) + (xy -0.055676 -1.136532) (xy -0.059531 -1.120502) (xy -0.066425 -1.102091) (xy -0.079375 -1.086445) + (xy -0.094447 -1.066326) (xy -0.099219 -1.050046) (xy -0.099936 -1.038287) (xy -0.103371 -1.025437) + (xy -0.111446 -1.006498) (xy -0.126082 -0.976469) (xy -0.132267 -0.964098) (xy -0.143415 -0.93686) + (xy -0.14875 -0.914013) (xy -0.148828 -0.912008) (xy -0.152342 -0.896316) (xy -0.156898 -0.892969) + (xy -0.165401 -0.884672) (xy -0.176164 -0.864169) (xy -0.178428 -0.858665) (xy -0.199348 -0.826639) + (xy -0.222448 -0.809281) (xy -0.238618 -0.799473) (xy -0.240267 -0.794126) (xy -0.238125 -0.793824) + (xy -0.216791 -0.788324) (xy -0.208359 -0.783956) (xy -0.200051 -0.775353) (xy -0.206861 -0.765444) + (xy -0.215801 -0.758741) (xy -0.232438 -0.741871) (xy -0.238125 -0.727643) (xy -0.245372 -0.711105) + (xy -0.262808 -0.692854) (xy -0.262904 -0.692779) (xy -0.279384 -0.676354) (xy -0.280732 -0.661565) + (xy -0.277438 -0.654144) (xy -0.27408 -0.629568) (xy -0.283622 -0.602581) (xy -0.301292 -0.583476) + (xy -0.308696 -0.569648) (xy -0.312182 -0.545525) (xy -0.312209 -0.544004) (xy -0.316803 -0.518026) + (xy -0.333384 -0.498897) (xy -0.344403 -0.491348) (xy -0.369053 -0.469548) (xy -0.376649 -0.445219) + (xy -0.382499 -0.420574) (xy -0.392384 -0.406407) (xy -0.402079 -0.394569) (xy -0.394864 -0.3855) + (xy -0.388244 -0.378785) (xy -0.397818 -0.377183) (xy -0.418025 -0.368993) (xy -0.436834 -0.350229) + (xy -0.446362 -0.32907) (xy -0.446508 -0.326641) (xy -0.452605 -0.311041) (xy -0.467957 -0.288144) + (xy -0.476335 -0.277812) (xy -0.493781 -0.256624) (xy -0.499018 -0.245884) (xy -0.493106 -0.241286) + (xy -0.485654 -0.239839) (xy -0.471005 -0.230937) (xy -0.469184 -0.216796) (xy -0.479267 -0.206107) + (xy -0.489369 -0.204833) (xy -0.501753 -0.201214) (xy -0.500723 -0.187469) (xy -0.500224 -0.172288) + (xy -0.505347 -0.168672) (xy -0.514672 -0.160619) (xy -0.515937 -0.153402) (xy -0.522799 -0.13631) + (xy -0.540078 -0.114063) (xy -0.562819 -0.091361) (xy -0.586062 -0.0729) (xy -0.604852 -0.06338) + (xy -0.610913 -0.063395) (xy -0.629572 -0.062554) (xy -0.651076 -0.052876) (xy -0.676033 -0.036523) + (xy -0.650556 -0.012899) (xy -0.629314 0.011361) (xy -0.62506 0.027938) (xy -0.637517 0.035498) + (xy -0.660294 0.03398) (xy -0.683312 0.030581) (xy -0.691675 0.034452) (xy -0.690132 0.048722) (xy -0.68909 0.05295) + (xy -0.688636 0.076082) (xy -0.702855 0.09711) (xy -0.707174 0.101275) (xy -0.729926 0.133326) (xy -0.739891 0.171356) + (xy -0.736126 0.208483) (xy -0.724829 0.230234) (xy -0.708675 0.253757) (xy -0.707058 0.268573) + (xy -0.720365 0.279888) (xy -0.728846 0.28409) (xy -0.750575 0.290748) (xy -0.760056 0.286688) (xy -0.754386 0.273252) + (xy -0.753805 0.272542) (xy -0.748297 0.253747) (xy -0.750089 0.24409) (xy -0.758525 0.233396) (xy -0.770104 0.239087) + (xy -0.781988 0.254606) (xy -0.783828 0.261758) (xy -0.791383 0.275318) (xy -0.803672 0.285432) + (xy -0.820169 0.306734) (xy -0.823516 0.32692) (xy -0.826424 0.349688) (xy -0.832943 0.361843) (xy -0.842905 0.37412) + (xy -0.857513 0.398776) (xy -0.873787 0.429868) (xy -0.888748 0.461455) (xy -0.899418 0.487594) + (xy -0.902891 0.501351) (xy -0.909447 0.518939) (xy -0.921755 0.534802) (xy -0.937355 0.554832) + (xy -0.947258 0.575506) (xy -0.94928 0.590863) (xy -0.943915 0.595313) (xy -0.933291 0.587113) (xy -0.927695 0.575469) + (xy -0.918631 0.560122) (xy -0.908275 0.555603) (xy -0.902916 0.564426) (xy -0.902891 0.565547) + (xy -0.895406 0.573898) (xy -0.87928 0.574735) (xy -0.864007 0.568603) (xy -0.859645 0.563066) (xy -0.852522 0.557769) + (xy -0.843442 0.568027) (xy -0.825377 0.582478) (xy -0.812515 0.585391) (xy -0.799109 0.58929) (xy -0.798711 0.595313) + (xy -0.796462 0.603807) (xy -0.789375 0.605234) (xy -0.775848 0.61292) (xy -0.773906 0.620117) (xy -0.766264 0.633278) + (xy -0.759609 0.635) (xy -0.741824 0.642043) (xy -0.737773 0.658116) (xy -0.74305 0.668413) (xy -0.747722 0.684302) + (xy -0.741424 0.694023) (xy -0.7343 0.705734) (xy -0.74166 0.711481) (xy -0.753142 0.72332) (xy -0.749887 0.740053) + (xy -0.734891 0.753703) (xy -0.714918 0.771935) (xy -0.708006 0.794341) (xy -0.715769 0.814177) + (xy -0.71961 0.817528) (xy -0.729293 0.834144) (xy -0.736463 0.863832) (xy -0.740525 0.899913) (xy -0.740887 0.935707) + (xy -0.736952 0.964537) (xy -0.733509 0.973669) (xy -0.725698 0.996865) (xy -0.722241 1.018947) + (xy -0.711166 1.051986) (xy -0.686602 1.081319) (xy -0.654731 1.100954) (xy -0.632809 1.105685) + (xy -0.606739 1.108558) (xy -0.589108 1.113842) (xy -0.588911 1.113963) (xy -0.576337 1.112775) + (xy -0.571859 1.106232) (xy -0.558676 1.095002) (xy -0.540742 1.091406) (xy -0.519487 1.086212) + (xy -0.509604 1.076523) (xy -0.496348 1.065046) (xy -0.479657 1.061641) (xy -0.453453 1.057035) + (xy -0.426906 1.046758) (xy -0.238125 1.046758) (xy -0.233164 1.051719) (xy -0.228203 1.046758) + (xy -0.233164 1.041797) (xy -0.238125 1.046758) (xy -0.426906 1.046758) (xy -0.425857 1.046352) + (xy -0.403073 1.03752) (xy -0.387443 1.036614) (xy -0.386704 1.03699) (xy -0.376217 1.033621) (xy -0.366057 1.018645) + (xy -0.34929 0.998872) (xy -0.31893 0.988612) (xy -0.317488 0.988376) (xy -0.291741 0.980876) (xy -0.275675 0.969945) + (xy -0.274246 0.967439) (xy -0.260708 0.954893) (xy -0.249498 0.9525) (xy -0.232639 0.946459) (xy -0.206561 0.930606) + (xy -0.176848 0.908344) (xy -0.176249 0.907852) (xy -0.14792 0.885697) (xy -0.124785 0.86972) (xy -0.111642 0.86322) + (xy -0.111325 0.863203) (xy -0.096412 0.856806) (xy -0.084543 0.84711) (xy -0.071105 0.836616) (xy -0.060453 0.84151) + (xy -0.053555 0.84959) (xy -0.042844 0.861996) (xy -0.041668 0.857839) (xy -0.04457 0.846576) (xy -0.043873 0.824944) + (xy -0.036762 0.816811) (xy -0.020659 0.801934) (xy -0.011049 0.788789) (xy -0.002479 0.775469) + (xy -0.002188 0.780006) (xy -0.005156 0.79127) (xy -0.006127 0.808977) (xy -0.000423 0.813594) (xy 0.011155 0.80545) + (xy 0.019253 0.79127) (xy 0.031298 0.770224) (xy 0.05105 0.743881) (xy 0.059375 0.734219) (xy 0.076108 0.716624) + (xy 0.084604 0.709698) (xy 0.0845 0.711781) (xy 0.084896 0.719884) (xy 0.094038 0.718047) (xy 0.105097 0.705078) + (xy 0.104072 0.694191) (xy 0.104026 0.676365) (xy 0.108737 0.669976) (xy 0.118158 0.67058) (xy 0.119063 0.674451) + (xy 0.124353 0.68023) (xy 0.139729 0.674247) (xy 0.153846 0.664268) (xy 0.1512 0.653473) (xy 0.145192 0.646386) + (xy 0.135882 0.627536) (xy 0.137837 0.616886) (xy 0.153146 0.607637) (xy 0.172131 0.61157) (xy 0.179632 0.61837) + (xy 0.190165 0.618883) (xy 0.201248 0.610928) (xy 0.218177 0.59835) (xy 0.227494 0.595313) (xy 0.237602 0.590196) + (xy 0.234064 0.579506) (xy 0.221583 0.571244) (xy 0.210937 0.563141) (xy 0.211893 0.561489) (xy 0.228203 0.561489) + (xy 0.235714 0.561588) (xy 0.243086 0.559213) (xy 0.256231 0.548804) (xy 0.257969 0.543427) (xy 0.252069 0.540141) + (xy 0.243086 0.545703) (xy 0.230764 0.557444) (xy 0.228203 0.561489) (xy 0.211893 0.561489) (xy 0.216622 0.553315) + (xy 0.226925 0.537609) (xy 0.228203 0.531813) (xy 0.222876 0.528851) (xy 0.216297 0.533797) (xy 0.199401 0.54494) + (xy 0.189761 0.539341) (xy 0.188516 0.53082) (xy 0.194343 0.517624) (xy 0.199328 0.515938) (xy 0.216698 0.507091) + (xy 0.234053 0.484246) (xy 0.247915 0.452942) (xy 0.251881 0.436563) (xy 0.367109 0.436563) (xy 0.37074 0.444729) + (xy 0.373724 0.443177) (xy 0.374911 0.431402) (xy 0.373724 0.429948) (xy 0.367825 0.43131) (xy 0.367109 0.436563) + (xy 0.251881 0.436563) (xy 0.253606 0.429441) (xy 0.259829 0.404435) (xy 0.367109 0.404435) (xy 0.369328 0.413521) + (xy 0.378807 0.408891) (xy 0.387014 0.401781) (xy 0.400402 0.387479) (xy 0.403317 0.380166) (xy 0.391051 0.379274) + (xy 0.376105 0.388574) (xy 0.367345 0.40212) (xy 0.367109 0.404435) (xy 0.259829 0.404435) (xy 0.260784 0.400596) + (xy 0.270746 0.379667) (xy 0.27393 0.376136) (xy 0.28586 0.360644) (xy 0.287734 0.35346) (xy 0.29449 0.338962) + (xy 0.31083 0.320629) (xy 0.330868 0.303632) (xy 0.348717 0.293144) (xy 0.357024 0.292594) (xy 0.364589 0.28927) + (xy 0.367109 0.273786) (xy 0.375049 0.248867) (xy 0.386953 0.238125) (xy 0.402718 0.224693) (xy 0.406797 0.214842) + (xy 0.412999 0.198389) (xy 0.424321 0.182945) (xy 0.437336 0.164567) (xy 0.454926 0.134508) (xy 0.463722 0.117644) + (xy 0.933008 0.117644) (xy 0.933359 0.143867) (xy 0.947539 0.119063) (xy 0.95797 0.098757) (xy 0.96207 0.087128) + (xy 0.95503 0.083805) (xy 0.947539 0.085709) (xy 0.936522 0.098854) (xy 0.933008 0.117644) (xy 0.463722 0.117644) + (xy 0.473383 0.09912) (xy 0.474187 0.097479) (xy 0.491451 0.064724) (xy 0.50702 0.039694) (xy 0.517826 0.027263) + (xy 0.518675 0.026842) (xy 0.52583 0.01941) (xy 0.519565 0.003329) (xy 0.519002 0.002366) (xy 0.512377 -0.015738) + (xy 0.514041 -0.024562) (xy 0.521602 -0.037188) (xy 0.521755 -0.038034) (xy 0.525731 -0.052253) + (xy 0.52837 -0.059531) (xy 0.533284 -0.078272) (xy 0.538637 -0.107216) (xy 0.540233 -0.11784) (xy 0.547386 -0.149977) + (xy 0.557711 -0.176726) (xy 0.560904 -0.182059) (xy 0.572489 -0.208066) (xy 0.575469 -0.226779) + (xy 0.578457 -0.253071) (xy 0.586147 -0.289672) (xy 0.596624 -0.328181) (xy 0.602928 -0.347266) + (xy 0.608687 -0.366673) (xy 0.609778 -0.37207) (xy 0.614477 -0.38772) (xy 0.623139 -0.409923) (xy 0.631723 -0.434391) + (xy 0.632139 -0.436562) (xy 0.79375 -0.436562) (xy 0.797135 -0.426899) (xy 0.798125 -0.426641) (xy 0.806596 -0.433593) + (xy 0.808633 -0.436562) (xy 0.807846 -0.445705) (xy 0.804257 -0.446484) (xy 0.794154 -0.439282) + (xy 0.79375 -0.436562) (xy 0.632139 -0.436562) (xy 0.635 -0.451506) (xy 0.641317 -0.468123) (xy 0.644922 -0.471289) + (xy 0.65338 -0.485342) (xy 0.654844 -0.495852) (xy 0.660044 -0.513139) (xy 0.666026 -0.518011) (xy 0.667712 -0.520417) + (xy 0.817719 -0.520417) (xy 0.818625 -0.515824) (xy 0.829237 -0.507599) (xy 0.840609 -0.506219) + (xy 0.843359 -0.509915) (xy 0.835577 -0.516287) (xy 0.827961 -0.519723) (xy 0.817719 -0.520417) + (xy 0.667712 -0.520417) (xy 0.674939 -0.53073) (xy 0.682374 -0.555878) (xy 0.835225 -0.555878) (xy 0.841008 -0.549424) + (xy 0.842588 -0.550187) (xy 0.852441 -0.565034) (xy 0.853281 -0.571093) (xy 0.861243 -0.583717) + (xy 0.868248 -0.585391) (xy 0.877834 -0.588147) (xy 0.874084 -0.599817) (xy 0.870806 -0.60526) (xy 0.856345 -0.620713) + (xy 0.844942 -0.616949) (xy 0.837312 -0.594456) (xy 0.835555 -0.581157) (xy 0.835225 -0.555878) + (xy 0.682374 -0.555878) (xy 0.684461 -0.562937) (xy 0.694505 -0.61429) (xy 0.698542 -0.640182) (xy 0.864151 -0.640182) + (xy 0.867723 -0.635454) (xy 0.873125 -0.635) (xy 0.882759 -0.64255) (xy 0.883047 -0.644922) (xy 0.891437 -0.652727) + (xy 0.904875 -0.654844) (xy 0.91936 -0.657004) (xy 0.916366 -0.665125) (xy 0.914797 -0.66675) (xy 0.903324 -0.686291) + (xy 0.91024 -0.700402) (xy 0.930176 -0.705604) (xy 0.945184 -0.707535) (xy 0.941459 -0.710917) (xy 0.940098 -0.711293) + (xy 0.926149 -0.719496) (xy 0.923093 -0.72955) (xy 0.932656 -0.734219) (xy 0.939654 -0.742832) (xy 0.942578 -0.763552) + (xy 0.942578 -0.763635) (xy 0.949803 -0.79209) (xy 0.962422 -0.803672) (xy 0.978273 -0.816862) (xy 0.982486 -0.826345) + (xy 0.988284 -0.842361) (xy 1.001013 -0.862208) (xy 1.012871 -0.884487) (xy 1.014985 -0.902589) + (xy 1.018242 -0.921965) (xy 1.026224 -0.932084) (xy 1.03918 -0.948426) (xy 1.041797 -0.957519) (xy 1.050122 -0.969728) + (xy 1.062389 -0.975414) (xy 1.078554 -0.987002) (xy 1.080041 -0.998896) (xy 1.081274 -1.00707) (xy 1.150938 -1.00707) + (xy 1.155898 -1.002109) (xy 1.160859 -1.00707) (xy 1.155898 -1.012031) (xy 1.150938 -1.00707) (xy 1.081274 -1.00707) + (xy 1.082722 -1.016671) (xy 1.092288 -1.040758) (xy 1.105064 -1.063978) (xy 1.107193 -1.066602) + (xy 1.170781 -1.066602) (xy 1.175742 -1.061641) (xy 1.180703 -1.066602) (xy 1.175742 -1.071562) + (xy 1.170781 -1.066602) (xy 1.107193 -1.066602) (xy 1.117376 -1.079154) (xy 1.122321 -1.081484) + (xy 1.128297 -1.090227) (xy 1.136118 -1.112577) (xy 1.140754 -1.130005) (xy 1.151656 -1.16325) (xy 1.160448 -1.180703) + (xy 1.245195 -1.180703) (xy 1.245982 -1.17156) (xy 1.249571 -1.170781) (xy 1.259674 -1.177984) (xy 1.260078 -1.180703) + (xy 1.256693 -1.190367) (xy 1.255703 -1.190625) (xy 1.247232 -1.183672) (xy 1.245195 -1.180703) + (xy 1.160448 -1.180703) (xy 1.165778 -1.191283) (xy 1.171543 -1.198935) (xy 1.260157 -1.198935) + (xy 1.266246 -1.206307) (xy 1.279727 -1.231889) (xy 1.282595 -1.237754) (xy 1.290764 -1.258621) + (xy 1.291213 -1.269536) (xy 1.290054 -1.27) (xy 1.281581 -1.261646) (xy 1.271878 -1.241279) (xy 1.270971 -1.238754) + (xy 1.261664 -1.209757) (xy 1.260157 -1.198935) (xy 1.171543 -1.198935) (xy 1.172113 -1.199691) + (xy 1.189662 -1.223779) (xy 1.205438 -1.253458) (xy 1.206245 -1.25535) (xy 1.218212 -1.277711) (xy 1.229573 -1.289365) + (xy 1.231587 -1.289844) (xy 1.235139 -1.296656) (xy 1.23362 -1.299766) (xy 1.304727 -1.299766) (xy 1.305513 -1.290623) + (xy 1.309102 -1.289844) (xy 1.319206 -1.297046) (xy 1.319609 -1.299766) (xy 1.316224 -1.30943) (xy 1.315234 -1.309687) + (xy 1.306763 -1.302735) (xy 1.304727 -1.299766) (xy 1.23362 -1.299766) (xy 1.226603 -1.314131) (xy 1.2179 -1.332919) + (xy 1.219373 -1.343785) (xy 1.228337 -1.340225) (xy 1.233217 -1.32942) (xy 1.240968 -1.311889) (xy 1.248531 -1.312207) + (xy 1.25361 -1.328488) (xy 1.254491 -1.346897) (xy 1.255637 -1.381788) (xy 1.333254 -1.381788) (xy 1.337653 -1.379141) + (xy 1.352195 -1.386443) (xy 1.354744 -1.389723) (xy 1.358458 -1.402639) (xy 1.350758 -1.403699) + (xy 1.339652 -1.394263) (xy 1.333254 -1.381788) (xy 1.255637 -1.381788) (xy 1.255655 -1.382329) + (xy 1.261499 -1.40175) (xy 1.273299 -1.4087) (xy 1.276849 -1.408906) (xy 1.287522 -1.415314) (xy 1.287358 -1.421309) + (xy 1.288867 -1.436618) (xy 1.290862 -1.443047) (xy 1.369219 -1.443047) (xy 1.374029 -1.432778) + (xy 1.379141 -1.433711) (xy 1.388684 -1.446396) (xy 1.389063 -1.449179) (xy 1.381495 -1.458248) + (xy 1.379141 -1.458516) (xy 1.370433 -1.45044) (xy 1.369219 -1.443047) (xy 1.290862 -1.443047) (xy 1.297095 -1.463135) + (xy 1.299498 -1.469084) (xy 1.381573 -1.469084) (xy 1.38293 -1.468437) (xy 1.391985 -1.475422) (xy 1.394023 -1.478359) + (xy 1.396552 -1.487635) (xy 1.395195 -1.488281) (xy 1.38614 -1.481296) (xy 1.384102 -1.478359) (xy 1.381573 -1.469084) + (xy 1.299498 -1.469084) (xy 1.30625 -1.485801) (xy 1.317833 -1.513719) (xy 1.324218 -1.532624) (xy 1.324426 -1.537891) + (xy 1.325571 -1.545587) (xy 1.328786 -1.552773) (xy 1.418828 -1.552773) (xy 1.423789 -1.547812) + (xy 1.42875 -1.552773) (xy 1.423789 -1.557734) (xy 1.418828 -1.552773) (xy 1.328786 -1.552773) (xy 1.334218 -1.564917) + (xy 1.339036 -1.574208) (xy 1.343115 -1.583279) (xy 1.370651 -1.583279) (xy 1.372991 -1.578102) + (xy 1.378555 -1.577578) (xy 1.392009 -1.584784) (xy 1.393953 -1.587386) (xy 1.392356 -1.593025) + (xy 1.384617 -1.591286) (xy 1.370651 -1.583279) (xy 1.343115 -1.583279) (xy 1.350043 -1.598685) + (xy 1.352519 -1.609451) (xy 1.4448 -1.609451) (xy 1.448905 -1.607344) (xy 1.457433 -1.615385) (xy 1.458516 -1.622227) + (xy 1.456393 -1.635464) (xy 1.454616 -1.637109) (xy 1.448219 -1.629351) (xy 1.445006 -1.622227) + (xy 1.4448 -1.609451) (xy 1.352519 -1.609451) (xy 1.354014 -1.615949) (xy 1.353353 -1.618857) (xy 1.356527 -1.626022) + (xy 1.363672 -1.627187) (xy 1.377743 -1.631129) (xy 1.379957 -1.634629) (xy 1.386978 -1.671836) + (xy 1.458516 -1.671836) (xy 1.463477 -1.666875) (xy 1.468438 -1.671836) (xy 1.463477 -1.676797) + (xy 1.458516 -1.671836) (xy 1.386978 -1.671836) (xy 1.389266 -1.683961) (xy 1.391669 -1.691094) + (xy 1.478359 -1.691094) (xy 1.483169 -1.680824) (xy 1.488281 -1.681758) (xy 1.497825 -1.694443) + (xy 1.498203 -1.697226) (xy 1.490635 -1.706295) (xy 1.488281 -1.706562) (xy 1.479574 -1.698486) + (xy 1.478359 -1.691094) (xy 1.391669 -1.691094) (xy 1.407646 -1.738513) (xy 1.413669 -1.751796) + (xy 1.508125 -1.751796) (xy 1.511395 -1.737461) (xy 1.519986 -1.741758) (xy 1.522584 -1.745564) + (xy 1.52134 -1.758307) (xy 1.518209 -1.761033) (xy 1.50958 -1.758877) (xy 1.508125 -1.751796) (xy 1.413669 -1.751796) + (xy 1.43301 -1.794449) (xy 1.514153 -1.794449) (xy 1.514164 -1.784193) (xy 1.523281 -1.780918) (xy 1.52867 -1.787071) + (xy 1.533858 -1.800718) (xy 1.53305 -1.804007) (xy 1.522858 -1.804305) (xy 1.514153 -1.794449) (xy 1.43301 -1.794449) + (xy 1.43658 -1.802322) (xy 1.454471 -1.837078) (xy 1.46477 -1.862563) (xy 1.468438 -1.881999) (xy 1.473992 -1.904518) + (xy 1.48297 -1.921333) (xy 1.491554 -1.939213) (xy 1.490699 -1.948885) (xy 1.491569 -1.958899) (xy 1.497549 -1.964127) + (xy 1.508018 -1.979134) (xy 1.514819 -2.004044) (xy 1.515033 -2.005755) (xy 1.518595 -2.035243) + (xy 1.521685 -2.058789) (xy 1.527017 -2.08279) (xy 1.53649 -2.114962) (xy 1.54789 -2.148808) (xy 1.558714 -2.177083) + (xy 1.641974 -2.177083) (xy 1.642713 -2.171851) (xy 1.655217 -2.163165) (xy 1.665445 -2.169986) + (xy 1.666875 -2.17754) (xy 1.660291 -2.187554) (xy 1.652049 -2.186422) (xy 1.641974 -2.177083) (xy 1.558714 -2.177083) + (xy 1.559002 -2.177834) (xy 1.56761 -2.195544) (xy 1.568876 -2.197199) (xy 1.574602 -2.212655) (xy 1.575181 -2.21785) + (xy 1.647031 -2.21785) (xy 1.653605 -2.207841) (xy 1.661914 -2.20899) (xy 1.675044 -2.218729) (xy 1.676797 -2.223562) + (xy 1.668745 -2.231427) (xy 1.661914 -2.232422) (xy 1.648756 -2.224639) (xy 1.647031 -2.21785) (xy 1.575181 -2.21785) + (xy 1.577493 -2.238573) (xy 1.577578 -2.243861) (xy 1.58077 -2.275643) (xy 1.588881 -2.304891) (xy 1.599713 -2.325491) + (xy 1.609125 -2.331641) (xy 1.613474 -2.339741) (xy 1.611653 -2.355511) (xy 1.61294 -2.384794) (xy 1.621251 -2.403174) + (xy 1.631276 -2.426691) (xy 1.628994 -2.453894) (xy 1.627209 -2.46055) (xy 1.622528 -2.488648) (xy 1.629064 -2.50736) + (xy 1.630885 -2.509625) (xy 1.640969 -2.527869) (xy 1.650869 -2.555558) (xy 1.658617 -2.58538) (xy 1.662243 -2.610021) + (xy 1.660877 -2.621053) (xy 1.65018 -2.623997) (xy 1.635218 -2.615248) (xy 1.622196 -2.599969) (xy 1.617261 -2.584742) + (xy 1.613824 -2.564531) (xy 1.605009 -2.534107) (xy 1.597417 -2.512608) (xy 1.586234 -2.479128) + (xy 1.579039 -2.449796) (xy 1.577578 -2.437252) (xy 1.570455 -2.413429) (xy 1.556679 -2.39519) (xy 1.539404 -2.375313) + (xy 1.52281 -2.349612) (xy 1.510019 -2.324025) (xy 1.504158 -2.304488) (xy 1.505471 -2.297914) (xy 1.50324 -2.288385) + (xy 1.490163 -2.276279) (xy 1.4736 -2.256511) (xy 1.468438 -2.238035) (xy 1.462415 -2.214514) (xy 1.453664 -2.202747) + (xy 1.443736 -2.18895) (xy 1.444078 -2.182092) (xy 1.444177 -2.167476) (xy 1.43965 -2.155931) (xy 1.427646 -2.128863) + (xy 1.4183 -2.099344) (xy 1.413546 -2.074572) (xy 1.414572 -2.06261) (xy 1.411721 -2.055018) (xy 1.40488 -2.053828) + (xy 1.387853 -2.045076) (xy 1.374519 -2.023479) (xy 1.369219 -1.996398) (xy 1.362762 -1.976311) + (xy 1.347099 -1.953508) (xy 1.345887 -1.952176) (xy 1.328106 -1.924552) (xy 1.316898 -1.892012) + (xy 1.316602 -1.89031) (xy 1.309564 -1.86207) (xy 1.300158 -1.841151) (xy 1.298856 -1.839412) (xy 1.289883 -1.820084) + (xy 1.284146 -1.792506) (xy 1.283964 -1.790643) (xy 1.275679 -1.759761) (xy 1.259248 -1.728059) + (xy 1.255587 -1.722944) (xy 1.239625 -1.698434) (xy 1.230879 -1.67804) (xy 1.230313 -1.673965) (xy 1.224588 -1.656256) + (xy 1.219987 -1.651743) (xy 1.214112 -1.638367) (xy 1.215695 -1.626353) (xy 1.216018 -1.610625) + (xy 1.20998 -1.607344) (xy 1.202445 -1.599532) (xy 1.203391 -1.587618) (xy 1.201176 -1.565224) (xy 1.189665 -1.543885) + (xy 1.176071 -1.521923) (xy 1.170781 -1.504665) (xy 1.165079 -1.487382) (xy 1.160859 -1.48332) (xy 1.152658 -1.46939) + (xy 1.150938 -1.457001) (xy 1.142276 -1.437746) (xy 1.130357 -1.431432) (xy 1.115141 -1.421726) + (xy 1.11509 -1.404879) (xy 1.11502 -1.379702) (xy 1.107432 -1.346651) (xy 1.094945 -1.31491) (xy 1.084731 -1.298438) + (xy 1.076782 -1.281672) (xy 1.067836 -1.252641) (xy 1.062048 -1.228005) (xy 1.054298 -1.196401) + (xy 1.046302 -1.173425) (xy 1.04126 -1.165488) (xy 1.033674 -1.153502) (xy 1.023689 -1.128506) (xy 1.016419 -1.105784) + (xy 1.006403 -1.076442) (xy 0.996855 -1.056807) (xy 0.991483 -1.051719) (xy 0.984961 -1.043107) + (xy 0.982266 -1.022539) (xy 0.978907 -0.999408) (xy 0.971409 -0.986649) (xy 0.96472 -0.972857) (xy 0.965118 -0.956061) + (xy 0.96577 -0.936014) (xy 0.961759 -0.927285) (xy 0.955618 -0.91562) (xy 0.947898 -0.890481) (xy 0.942045 -0.865511) + (xy 0.933214 -0.830726) (xy 0.923004 -0.80159) (xy 0.916573 -0.789062) (xy 0.906006 -0.763955) (xy 0.902891 -0.742235) + (xy 0.897981 -0.715596) (xy 0.885885 -0.684982) (xy 0.883047 -0.679648) (xy 0.868948 -0.653514) + (xy 0.864151 -0.640182) (xy 0.698542 -0.640182) (xy 0.701114 -0.656673) (xy 0.709709 -0.685949) + (xy 0.723624 -0.708686) (xy 0.725277 -0.710301) (xy 0.739846 -0.729627) (xy 0.744141 -0.744125) + (xy 0.747249 -0.76227) (xy 0.755278 -0.791692) (xy 0.763337 -0.816672) (xy 0.774787 -0.852602) (xy 0.783737 -0.885428) + (xy 0.78706 -0.901194) (xy 0.795471 -0.932628) (xy 0.808799 -0.962118) (xy 0.82322 -0.981475) (xy 0.825264 -0.983014) + (xy 0.831748 -0.995922) (xy 0.835962 -1.019796) (xy 0.836181 -1.022702) (xy 0.839643 -1.047169) + (xy 0.845135 -1.061589) (xy 0.845778 -1.062188) (xy 0.851742 -1.074414) (xy 0.858706 -1.099419) + (xy 0.862224 -1.11622) (xy 0.870715 -1.147075) (xy 0.88199 -1.17038) (xy 0.887683 -1.176732) (xy 0.897426 -1.189122) + (xy 0.893562 -1.195219) (xy 0.885206 -1.208593) (xy 0.883446 -1.228152) (xy 0.887927 -1.244877) + (xy 0.895725 -1.250156) (xy 0.910061 -1.255982) (xy 0.924976 -1.269491) (xy 0.93558 -1.284735) (xy 0.936986 -1.295764) + (xy 0.934591 -1.297467) (xy 0.928681 -1.307657) (xy 0.932472 -1.317836) (xy 0.940013 -1.338526) + (xy 0.946754 -1.367576) (xy 0.947544 -1.372221) (xy 0.954895 -1.397968) (xy 0.965437 -1.41399) (xy 0.96793 -1.41545) + (xy 0.980725 -1.428946) (xy 0.982266 -1.436549) (xy 0.985437 -1.456502) (xy 0.993813 -1.489483) + (xy 1.005693 -1.529919) (xy 1.019372 -1.572235) (xy 1.033146 -1.610858) (xy 1.042886 -1.634894) + (xy 1.054272 -1.664306) (xy 1.060929 -1.688558) (xy 1.061641 -1.694978) (xy 1.067282 -1.713801) + (xy 1.081333 -1.738941) (xy 1.086445 -1.74625) (xy 1.102177 -1.771814) (xy 1.110731 -1.793968) (xy 1.11125 -1.798449) + (xy 1.116953 -1.818192) (xy 1.131042 -1.84335) (xy 1.134787 -1.848611) (xy 1.160889 -1.897925) (xy 1.173792 -1.959407) + (xy 1.173808 -1.95957) (xy 1.178714 -1.97853) (xy 1.183135 -1.984931) (xy 1.189082 -1.997881) (xy 1.192564 -2.019657) + (xy 1.199967 -2.047496) (xy 1.215339 -2.076664) (xy 1.217417 -2.079569) (xy 1.232538 -2.1053) (xy 1.240052 -2.128636) + (xy 1.240234 -2.131575) (xy 1.24808 -2.153414) (xy 1.260078 -2.165271) (xy 1.275838 -2.18443) (xy 1.279922 -2.201304) + (xy 1.284431 -2.222487) (xy 1.296132 -2.253404) (xy 1.30916 -2.280772) (xy 1.330541 -2.322465) (xy 1.353125 -2.367802) + (xy 1.364458 -2.391172) (xy 1.389396 -2.442474) (xy 1.407746 -2.477898) (xy 1.420797 -2.499778) + (xy 1.429842 -2.510448) (xy 1.430805 -2.511144) (xy 1.437863 -2.523713) (xy 1.43762 -2.526026) (xy 1.439788 -2.544608) + (xy 1.449993 -2.572876) (xy 1.45924 -2.591955) (xy 1.467144 -2.613847) (xy 1.468437 -2.623639) (xy 1.475881 -2.640087) + (xy 1.481292 -2.645267) (xy 1.648381 -2.645267) (xy 1.651552 -2.639534) (xy 1.655892 -2.639219) + (xy 1.666547 -2.647171) (xy 1.671002 -2.655505) (xy 1.673546 -2.666216) (xy 1.66508 -2.661649) (xy 1.662141 -2.659251) + (xy 1.648381 -2.645267) (xy 1.481292 -2.645267) (xy 1.488416 -2.652087) (xy 1.502566 -2.669041) + (xy 1.508329 -2.697181) (xy 1.508744 -2.709701) (xy 1.508822 -2.712515) (xy 1.729817 -2.712515) + (xy 1.731367 -2.708672) (xy 1.740283 -2.699207) (xy 1.741875 -2.69875) (xy 1.746136 -2.706426) (xy 1.74625 -2.708672) + (xy 1.738623 -2.718212) (xy 1.735743 -2.718594) (xy 1.729817 -2.712515) (xy 1.508822 -2.712515) + (xy 1.509749 -2.745751) (xy 1.511792 -2.779656) (xy 1.51233 -2.785566) (xy 1.515018 -2.813911) (xy 1.516586 -2.832695) + (xy 1.736328 -2.832695) (xy 1.741289 -2.827734) (xy 1.74625 -2.832695) (xy 1.741289 -2.837656) (xy 1.736328 -2.832695) + (xy 1.516586 -2.832695) (xy 1.516807 -2.835346) (xy 1.523676 -2.851305) (xy 1.540498 -2.876324) + (xy 1.563639 -2.904999) (xy 1.563755 -2.905132) (xy 1.591382 -2.934421) (xy 1.611171 -2.949302) + (xy 1.626167 -2.95196) (xy 1.628247 -2.951421) (xy 1.643221 -2.951282) (xy 1.647031 -2.965303) (xy 1.655364 -2.983176) + (xy 1.674345 -2.993756) (xy 1.694954 -2.992352) (xy 1.69695 -2.991254) (xy 1.703143 -2.993441) (xy 1.700924 -3.010458) + (xy 1.69977 -3.031003) (xy 1.709132 -3.035133) (xy 1.724422 -3.024187) (xy 1.734253 -3.017285) (xy 1.736328 -3.024187) + (xy 1.744618 -3.033852) (xy 1.756172 -3.036094) (xy 1.772581 -3.029577) (xy 1.776016 -3.020502) + (xy 1.782614 -3.000468) (xy 1.789369 -2.991558) (xy 1.796449 -2.978926) (xy 1.798131 -2.957531) + (xy 1.794692 -2.922515) (xy 1.793691 -2.915372) (xy 1.788395 -2.875159) (xy 1.78414 -2.836872) (xy 1.782336 -2.815676) + (xy 1.779264 -2.789953) (xy 1.774673 -2.773842) (xy 1.773736 -2.772538) (xy 1.771129 -2.758991) + (xy 1.772848 -2.752224) (xy 1.771859 -2.733186) (xy 1.76705 -2.724707) (xy 1.761022 -2.702879) (xy 1.764269 -2.688512) + (xy 1.766203 -2.66146) (xy 1.754501 -2.628181) (xy 1.742524 -2.594816) (xy 1.736498 -2.561236) (xy 1.736328 -2.556189) + (xy 1.733719 -2.532305) (xy 1.727328 -2.519009) (xy 1.726242 -2.518448) (xy 1.717747 -2.507239) + (xy 1.711647 -2.485375) (xy 1.70583 -2.455686) (xy 1.697384 -2.421287) (xy 1.695914 -2.415977) (xy 1.686945 -2.378388) + (xy 1.680103 -2.339882) (xy 1.679669 -2.336602) (xy 1.672358 -2.305877) (xy 1.660781 -2.28106) (xy 1.658359 -2.277868) + (xy 1.647721 -2.26438) (xy 1.650875 -2.262334) (xy 1.660245 -2.265466) (xy 1.6792 -2.271075) (xy 1.686166 -2.272109) + (xy 1.690848 -2.280575) (xy 1.690937 -2.299206) (xy 1.694575 -2.333485) (xy 1.709274 -2.371526) + (xy 1.731052 -2.404151) (xy 1.740424 -2.413275) (xy 1.753702 -2.425596) (xy 1.751695 -2.430091) + (xy 1.74377 -2.430639) (xy 1.729031 -2.434944) (xy 1.726406 -2.439566) (xy 1.73389 -2.454072) (xy 1.749988 -2.465045) + (xy 1.765172 -2.466127) (xy 1.765738 -2.465806) (xy 1.771888 -2.45196) (xy 1.770421 -2.428986) (xy 1.76246 -2.40557) + (xy 1.756823 -2.396917) (xy 1.748519 -2.378956) (xy 1.742401 -2.3523) (xy 1.742178 -2.350648) (xy 1.734106 -2.316659) + (xy 1.722246 -2.286992) (xy 1.711469 -2.262774) (xy 1.706641 -2.244812) (xy 1.706624 -2.244208) + (xy 1.703476 -2.224821) (xy 1.695695 -2.197734) (xy 1.685585 -2.169292) (xy 1.675448 -2.14584) (xy 1.667588 -2.133721) + (xy 1.666319 -2.133203) (xy 1.654028 -2.140358) (xy 1.651992 -2.143125) (xy 1.64003 -2.152655) (xy 1.637453 -2.153047) + (xy 1.633108 -2.145343) (xy 1.635529 -2.127361) (xy 1.643066 -2.106793) (xy 1.649679 -2.095996) + (xy 1.652847 -2.085691) (xy 1.643634 -2.083594) (xy 1.626574 -2.07499) (xy 1.612438 -2.054318) (xy 1.605783 -2.029285) + (xy 1.606166 -2.020216) (xy 1.602655 -2.000775) (xy 1.596191 -1.993536) (xy 1.589297 -1.984339) + (xy 1.597319 -1.97022) (xy 1.600297 -1.966836) (xy 1.614942 -1.947305) (xy 1.613408 -1.937348) (xy 1.597422 -1.934766) + (xy 1.580692 -1.9277) (xy 1.577578 -1.91713) (xy 1.572064 -1.89558) (xy 1.562697 -1.878248) (xy 1.55342 -1.856772) + (xy 1.553605 -1.84192) (xy 1.5556 -1.81668) (xy 1.549236 -1.778791) (xy 1.536013 -1.732826) (xy 1.517426 -1.683361) + (xy 1.494975 -1.634968) (xy 1.473543 -1.597422) (xy 1.46383 -1.57603) (xy 1.461815 -1.567836) (xy 1.453755 -1.552498) + (xy 1.449134 -1.549646) (xy 1.44108 -1.538244) (xy 1.438672 -1.522181) (xy 1.435556 -1.503928) (xy 1.429854 -1.498203) + (xy 1.422234 -1.489575) (xy 1.415455 -1.468543) (xy 1.414937 -1.465957) (xy 1.405723 -1.438507) + (xy 1.389251 -1.405398) (xy 1.379646 -1.389722) (xy 1.364075 -1.363916) (xy 1.355405 -1.344837) + (xy 1.35481 -1.338686) (xy 1.354155 -1.325218) (xy 1.346685 -1.302382) (xy 1.335647 -1.277911) (xy 1.32429 -1.259541) + (xy 1.31976 -1.25521) (xy 1.310938 -1.240959) (xy 1.309688 -1.23203) (xy 1.304352 -1.213606) (xy 1.291006 -1.188043) + (xy 1.285392 -1.179321) (xy 1.268314 -1.150353) (xy 1.256213 -1.123021) (xy 1.254551 -1.117487) + (xy 1.246879 -1.098322) (xy 1.239159 -1.091406) (xy 1.231183 -1.083413) (xy 1.230313 -1.07735) (xy 1.222808 -1.061398) + (xy 1.21791 -1.058262) (xy 1.211593 -1.051441) (xy 1.220391 -1.042704) (xy 1.227524 -1.035197) (xy 1.217993 -1.032309) + (xy 1.207988 -1.032027) (xy 1.187612 -1.028365) (xy 1.180786 -1.014689) (xy 1.180551 -1.009551) + (xy 1.175913 -0.98415) (xy 1.165008 -0.964044) (xy 1.15378 -0.956973) (xy 1.121738 -0.94765) (xy 1.100972 -0.923193) + (xy 1.093293 -0.901414) (xy 1.087995 -0.872801) (xy 1.087375 -0.851053) (xy 1.088266 -0.847154) + (xy 1.086928 -0.835153) (xy 1.08204 -0.833437) (xy 1.070186 -0.824835) (xy 1.058536 -0.803948) (xy 1.04994 -0.77816) + (xy 1.047251 -0.754853) (xy 1.048714 -0.747176) (xy 1.051818 -0.735139) (xy 1.044096 -0.738574) + (xy 1.039311 -0.742362) (xy 1.026998 -0.748919) (xy 1.017053 -0.74181) (xy 1.008125 -0.726336) (xy 0.995502 -0.696157) + (xy 0.988016 -0.669386) (xy 0.981824 -0.647172) (xy 0.975177 -0.635804) (xy 0.958124 -0.613512) + (xy 0.948868 -0.58321) (xy 0.94901 -0.564856) (xy 0.947281 -0.544964) (xy 0.933544 -0.521152) (xy 0.91325 -0.49732) + (xy 0.891597 -0.471332) (xy 0.877013 -0.448935) (xy 0.873088 -0.437789) (xy 0.86812 -0.419063) (xy 0.855943 -0.39377) + (xy 0.853244 -0.389194) (xy 0.840284 -0.36484) (xy 0.83366 -0.346335) (xy 0.833438 -0.344083) (xy 0.82697 -0.325836) + (xy 0.807015 -0.297018) (xy 0.776387 -0.26053) (xy 0.761119 -0.240197) (xy 0.754111 -0.224889) (xy 0.754063 -0.224097) + (xy 0.748867 -0.209453) (xy 0.735889 -0.186418) (xy 0.73066 -0.178416) (xy 0.71384 -0.143528) (xy 0.702118 -0.099721) + (xy 0.700272 -0.08755) (xy 0.693876 -0.051539) (xy 0.684098 -0.029328) (xy 0.669104 -0.015387) (xy 0.651407 0.00249) + (xy 0.644922 0.020072) (xy 0.641765 0.036089) (xy 0.63748 0.039695) (xy 0.631386 0.048221) (xy 0.628608 0.064499) + (xy 0.62914 0.07876) (xy 0.636033 0.086145) (xy 0.654043 0.088906) (xy 0.681009 0.089297) (xy 0.717538 0.087055) + (xy 0.736742 0.080003) (xy 0.740258 0.075181) (xy 0.754287 0.06034) (xy 0.779849 0.047662) (xy 0.809688 0.039249) + (xy 0.836544 0.037203) (xy 0.852349 0.042724) (xy 0.865632 0.048109) (xy 0.870192 0.045928) (xy 0.869646 0.035395) + (xy 0.862916 0.029038) (xy 0.854349 0.020038) (xy 0.86138 0.009732) (xy 0.86758 0.004772) (xy 0.887695 -0.006775) + (xy 0.899826 -0.009922) (xy 0.911764 -0.015948) (xy 0.912813 -0.019844) (xy 0.921051 -0.028117) + (xy 0.931132 -0.029766) (xy 0.952101 -0.034075) (xy 0.979123 -0.044611) (xy 0.982418 -0.046212) + (xy 1.010909 -0.056005) (xy 1.049127 -0.0637) (xy 1.07572 -0.06662) (xy 1.110374 -0.067977) (xy 1.13091 -0.065515) + (xy 1.142885 -0.05802) (xy 1.148156 -0.050791) (xy 1.155231 -0.02819) (xy 1.149622 -0.000493) (xy 1.143774 0.025205) + (xy 1.144542 0.044486) (xy 1.144595 0.044625) (xy 1.144847 0.060663) (xy 1.140483 0.090066) (xy 1.132403 0.127141) + (xy 1.130082 0.136278) (xy 1.120127 0.174727) (xy 1.111912 0.207048) (xy 1.106934 0.227341) (xy 1.106432 0.229559) + (xy 1.102481 0.243441) (xy 1.09497 0.261319) (xy 1.081463 0.288708) (xy 1.073112 0.304963) (xy 1.063831 0.333242) + (xy 1.061641 0.352092) (xy 1.058261 0.370803) (xy 1.051719 0.377031) (xy 1.043577 0.385315) (xy 1.041797 0.396289) + (xy 1.037241 0.415283) (xy 1.031875 0.42168) (xy 1.025554 0.434678) (xy 1.022145 0.459388) (xy 1.021953 0.467225) + (xy 1.018427 0.497052) (xy 1.008648 0.51172) (xy 1.008046 0.511975) (xy 0.995816 0.525717) (xy 0.988017 0.550521) + (xy 0.986087 0.577835) (xy 0.991467 0.599104) (xy 0.993011 0.601265) (xy 0.999752 0.616549) (xy 0.994395 0.624139) + (xy 0.981369 0.618211) (xy 0.980653 0.617513) (xy 0.963337 0.610949) (xy 0.942755 0.611648) (xy 0.913565 0.61079) + (xy 0.894473 0.593998) (xy 0.885 0.560585) (xy 0.883826 0.527297) (xy 0.884887 0.468031) (xy 0.884329 0.425982) + (xy 0.881868 0.398571) (xy 0.877223 0.383215) (xy 0.870111 0.377334) (xy 0.867376 0.377031) (xy 0.857271 0.372996) + (xy 0.856197 0.357636) (xy 0.858499 0.344785) (xy 0.865745 0.31967) (xy 0.873893 0.30342) (xy 0.87417 0.303113) + (xy 0.88146 0.284094) (xy 0.882302 0.255692) (xy 0.876849 0.22724) (xy 0.872776 0.217629) (xy 0.867835 0.202947) + (xy 0.877935 0.198549) (xy 0.882698 0.198438) (xy 0.898977 0.192673) (xy 0.902891 0.184382) (xy 0.909858 0.169205) + (xy 0.914569 0.166433) (xy 0.920891 0.156465) (xy 0.918756 0.150419) (xy 0.905031 0.144378) (xy 0.880521 0.144122) + (xy 0.85315 0.148825) (xy 0.830845 0.157664) (xy 0.82764 0.159894) (xy 0.810941 0.166918) (xy 0.784978 0.172478) + (xy 0.782992 0.172746) (xy 0.730651 0.184696) (xy 0.693002 0.205962) (xy 0.677008 0.222546) (xy 0.653448 0.242806) + (xy 0.62759 0.253994) (xy 0.605139 0.263467) (xy 0.588145 0.284657) (xy 0.58019 0.300901) (xy 0.563254 0.332916) + (xy 0.543734 0.36134) (xy 0.539008 0.36683) (xy 0.523416 0.385336) (xy 0.51603 0.397426) (xy 0.515938 0.398097) + (xy 0.507714 0.405415) (xy 0.496094 0.409672) (xy 0.480379 0.422205) (xy 0.476664 0.442856) (xy 0.486132 0.46232) + (xy 0.488521 0.47687) (xy 0.483739 0.503773) (xy 0.473694 0.537736) (xy 0.460293 0.573467) (xy 0.445441 0.605672) + (xy 0.431045 0.629058) (xy 0.423313 0.636724) (xy 0.419212 0.648464) (xy 0.424921 0.657732) (xy 0.431395 0.672436) + (xy 0.422998 0.683515) (xy 0.409519 0.704467) (xy 0.39787 0.743813) (xy 0.389745 0.788776) (xy 0.383591 0.813887) + (xy 0.375987 0.829735) (xy 0.370902 0.845094) (xy 0.367186 0.873523) (xy 0.365831 0.901682) (xy 0.364341 0.933443) + (xy 0.361317 0.955427) (xy 0.357959 0.962422) (xy 0.349627 0.970489) (xy 0.337667 0.990529) (xy 0.334381 0.997148) + (xy 0.318392 1.022074) (xy 0.299629 1.031461) (xy 0.293205 1.031875) (xy 0.270625 1.025347) (xy 0.259153 1.014244) + (xy 0.252993 0.992062) (xy 0.252252 0.964671) (xy 0.256318 0.939933) (xy 0.264579 0.925711) (xy 0.266109 0.924982) + (xy 0.275458 0.913136) (xy 0.277258 0.893141) (xy 0.271638 0.875148) (xy 0.26541 0.869774) (xy 0.258099 0.865291) + (xy 0.26541 0.863986) (xy 0.275853 0.855072) (xy 0.277813 0.845344) (xy 0.284043 0.823391) (xy 0.289632 0.815665) + (xy 0.29797 0.797643) (xy 0.304436 0.765023) (xy 0.308155 0.723023) (xy 0.308251 0.719336) (xy 0.347266 0.719336) + (xy 0.352227 0.724297) (xy 0.357188 0.719336) (xy 0.352227 0.714375) (xy 0.347266 0.719336) (xy 0.308251 0.719336) + (xy 0.308702 0.701973) (xy 0.315703 0.687287) (xy 0.323571 0.684609) (xy 0.333107 0.680404) (xy 0.328845 0.66766) + (xy 0.325736 0.649595) (xy 0.334133 0.639908) (xy 0.339182 0.633295) (xy 0.328814 0.630472) (xy 0.305919 0.630485) + (xy 0.266219 0.632092) (xy 0.242914 0.634186) (xy 0.232554 0.637395) (xy 0.23169 0.642349) (xy 0.232522 0.643882) + (xy 0.22988 0.65439) (xy 0.223185 0.658454) (xy 0.210977 0.671893) (xy 0.208359 0.684298) (xy 0.203458 0.700575) + (xy 0.196463 0.704453) (xy 0.18323 0.711553) (xy 0.162323 0.729717) (xy 0.138113 0.754236) (xy 0.114972 0.780405) + (xy 0.09727 0.803517) (xy 0.089378 0.818864) (xy 0.089297 0.819843) (xy 0.080968 0.832157) (xy 0.060185 0.845886) + (xy 0.05209 0.849685) (xy 0.01773 0.864802) (xy -0.002593 0.87566) (xy -0.013208 0.885513) (xy -0.018446 0.897611) + (xy -0.02001 0.90383) (xy -0.032024 0.923876) (xy -0.052997 0.930885) (xy -0.079262 0.942218) (xy -0.089566 0.95817) + (xy -0.099304 0.976212) (xy -0.107218 0.982266) (xy -0.117564 0.990069) (xy -0.128984 1.00707) (xy -0.148326 1.027424) + (xy -0.165038 1.031875) (xy -0.187697 1.039927) (xy -0.198437 1.051719) (xy -0.212213 1.067501) + (xy -0.22253 1.071563) (xy -0.237532 1.079559) (xy -0.241713 1.086445) (xy -0.254372 1.099635) (xy -0.261543 1.101328) + (xy -0.274265 1.10979) (xy -0.281887 1.126133) (xy -0.294118 1.146665) (xy -0.307964 1.150938) (xy -0.328517 1.156054) + (xy -0.355835 1.168845) (xy -0.364826 1.174154) (xy -0.395463 1.190098) (xy -0.434848 1.20638) (xy -0.461367 1.215387) + (xy -0.495615 1.227998) (xy -0.523498 1.242262) (xy -0.536439 1.252517) (xy -0.547637 1.262851) + (xy -0.563166 1.267628) (xy -0.588668 1.267775) (xy -0.615814 1.265589) (xy -0.667222 1.260058) + (xy -0.703145 1.254132) (xy -0.727923 1.246604) (xy -0.745898 1.236267) (xy -0.757165 1.226308) + (xy -0.781515 1.199985) (xy -0.805871 1.170488) (xy -0.826616 1.142601) (xy -0.840133 1.121107) + (xy -0.843359 1.112303) (xy -0.850472 1.101837) (xy -0.853527 1.101328) (xy -0.864951 1.09262) (xy -0.875551 1.071177) + (xy -0.882662 1.044025) (xy -0.883935 1.021245) (xy -0.885921 0.995905) (xy -0.893326 0.96347) (xy -0.896642 0.95301) + (xy -0.905694 0.913863) (xy -0.902149 0.886057) (xy -0.897223 0.857507) (xy -0.898989 0.83771) (xy -0.900224 0.819629) + (xy -0.895201 0.813594) (xy -0.885706 0.805202) (xy -0.880519 0.79127) (xy -0.873547 0.765814) (xy -0.868869 0.752861) + (xy -0.870223 0.734855) (xy -0.882304 0.715809) (xy -0.898806 0.704866) (xy -0.902261 0.704453) + (xy -0.914598 0.710083) (xy -0.9347 0.723919) (xy -0.938381 0.726777) (xy -0.967144 0.745449) (xy -0.996707 0.759045) + (xy -0.99677 0.759066) (xy -1.019307 0.771039) (xy -1.026795 0.789662) (xy -1.026914 0.79352) (xy -1.035447 0.817986) + (xy -1.059012 0.838126) (xy -1.090208 0.869033) (xy -1.103171 0.896854) (xy -1.117966 0.928699) + (xy -1.140278 0.961356) (xy -1.147968 0.970176) (xy -1.167436 0.994221) (xy -1.179159 1.015267) + (xy -1.180703 1.022126) (xy -1.187852 1.042773) (xy -1.195586 1.051719) (xy -1.208192 1.068566) + (xy -1.210469 1.077654) (xy -1.218094 1.093101) (xy -1.230312 1.103631) (xy -1.246009 1.120242) + (xy -1.250156 1.13348) (xy -1.254814 1.148259) (xy -1.260078 1.150938) (xy -1.268139 1.159248) (xy -1.27 1.170781) + (xy -1.263796 1.186942) (xy -1.255117 1.190625) (xy -1.241917 1.196181) (xy -1.240234 1.200922) + (xy -1.248652 1.207537) (xy -1.266596 1.208364) (xy -1.287388 1.210211) (xy -1.298193 1.22534) (xy -1.300278 1.232093) + (xy -1.309818 1.256912) (xy -1.318896 1.27178) (xy -1.332858 1.290986) (xy -1.350632 1.319375) (xy -1.369157 1.351493) + (xy -1.385373 1.381886) (xy -1.396217 1.405098) (xy -1.398984 1.414484) (xy -1.405553 1.430864) + (xy -1.408906 1.433711) (xy -1.4174 1.447781) (xy -1.418828 1.45804) (xy -1.425941 1.476271) (xy -1.433711 1.481947) + (xy -1.446395 1.49551) (xy -1.448594 1.505829) (xy -1.45472 1.527861) (xy -1.46042 1.535826) (xy -1.471067 1.55043) + (xy -1.487019 1.576687) (xy -1.502588 1.604783) (xy -1.524888 1.641423) (xy -1.544182 1.660834) + (xy -1.555269 1.665087) (xy -1.571209 1.671062) (xy -1.572888 1.6864) (xy -1.571557 1.692372) (xy -1.5705 1.711346) + (xy -1.576503 1.716484) (xy -1.585434 1.724784) (xy -1.5875 1.736328) (xy -1.580582 1.752819) (xy -1.567071 1.756172) + (xy -1.55312 1.760145) (xy -1.55327 1.766898) (xy -1.566806 1.772945) (xy -1.583822 1.771619) (xy -1.60107 1.770182) + (xy -1.60992 1.78074) (xy -1.613916 1.796473) (xy -1.622146 1.819626) (xy -1.63338 1.832429) (xy -1.633559 1.8325) + (xy -1.645822 1.844417) (xy -1.647031 1.849953) (xy -1.653796 1.865294) (xy -1.666875 1.880195) + (xy -1.682097 1.902525) (xy -1.686719 1.921894) (xy -1.693756 1.945381) (xy -1.710144 1.967485) + (xy -1.729351 1.991159) (xy -1.740534 2.014141) (xy -1.754066 2.052105) (xy -1.770087 2.081963) + (xy -1.783441 2.096489) (xy -1.793931 2.112178) (xy -1.795859 2.12369) (xy -1.803649 2.143834) (xy -1.815703 2.155349) + (xy -1.83125 2.169261) (xy -1.835547 2.178789) (xy -1.841788 2.192831) (xy -1.857131 2.213033) (xy -1.860352 2.216601) + (xy -1.877079 2.240417) (xy -1.885048 2.263104) (xy -1.885156 2.265273) (xy -1.893024 2.28731) (xy -1.905966 2.300176) + (xy -1.919714 2.311812) (xy -1.921274 2.318674) (xy -1.921724 2.330664) (xy -1.930823 2.353249) + (xy -1.945622 2.380922) (xy -1.963172 2.408175) (xy -1.980524 2.429503) (xy -1.98075 2.429732) (xy -1.997161 2.452386) + (xy -2.004214 2.474217) (xy -2.004219 2.47467) (xy -2.011816 2.496846) (xy -2.024062 2.510234) (xy -2.039435 2.526054) + (xy -2.043906 2.537364) (xy -2.049008 2.556256) (xy -2.060652 2.58005) (xy -2.07335 2.597871) (xy -2.075324 2.599605) + (xy -2.085785 2.610727) (xy -2.104187 2.632943) (xy -2.126654 2.661403) (xy -2.149314 2.691257) + (xy -2.158008 2.703116) (xy -2.18365 2.738494) (xy -2.201241 2.760675) (xy -2.214753 2.772253) (xy -2.228157 2.775817) + (xy -2.245423 2.773961) (xy -2.261799 2.770849) (xy -2.291348 2.756847) (xy -2.307822 2.73046) (xy -2.310269 2.695017) + (xy -2.297735 2.653845) (xy -2.296223 2.650736) (xy -2.287197 2.624229) (xy -2.286281 2.601939) + (xy -2.286638 2.600648) (xy -2.284938 2.577965) (xy -2.277407 2.566559) (xy -2.276118 2.564805) + (xy -2.232422 2.564805) (xy -2.227461 2.569766) (xy -2.2225 2.564805) (xy -2.227461 2.559844) (xy -2.232422 2.564805) + (xy -2.276118 2.564805) (xy -2.265033 2.549724) (xy -2.262187 2.540709) (xy -2.258652 2.535039) + (xy -2.153047 2.535039) (xy -2.148086 2.54) (xy -2.143125 2.535039) (xy -2.148086 2.530078) (xy -2.153047 2.535039) + (xy -2.258652 2.535039) (xy -2.255823 2.5305) (xy -2.253368 2.530078) (xy -2.245468 2.522265) (xy -2.245009 2.517676) + (xy -2.244825 2.515195) (xy -2.2225 2.515195) (xy -2.217539 2.520156) (xy -2.212578 2.515195) (xy -2.217539 2.510234) + (xy -2.2225 2.515195) (xy -2.244825 2.515195) (xy -2.242188 2.479639) (xy -2.22785 2.448495) (xy -2.22347 2.442933) + (xy -2.163887 2.442933) (xy -2.134918 2.414572) (xy -2.117519 2.396485) (xy -2.113602 2.387536) + (xy -2.121915 2.383568) (xy -2.125389 2.382929) (xy -2.140834 2.381267) (xy -2.148866 2.386773) + (xy -2.154654 2.404608) (xy -2.157071 2.414572) (xy -2.163887 2.442933) (xy -2.22347 2.442933) (xy -2.213549 2.430334) + (xy -2.191531 2.399171) (xy -2.174136 2.364588) (xy -2.171932 2.358501) (xy -2.158089 2.329655) + (xy -2.140131 2.307619) (xy -2.136234 2.304659) (xy -2.119262 2.288985) (xy -2.113359 2.275929) + (xy -2.105145 2.264582) (xy -2.094591 2.262188) (xy -2.076985 2.253221) (xy -2.069685 2.237733) + (xy -2.060139 2.219766) (xy -2.048766 2.218951) (xy -2.036085 2.21846) (xy -2.033984 2.21364) (xy -2.040927 2.203165) + (xy -2.043906 2.202656) (xy -2.053352 2.19496) (xy -2.053828 2.191577) (xy -2.045975 2.184756) (xy -2.033971 2.185691) + (xy -2.020316 2.186655) (xy -2.01915 2.182735) (xy -2.017012 2.172581) (xy -2.014374 2.171258) (xy -2.007371 2.160155) + (xy -2.002481 2.136913) (xy -2.00191 2.130743) (xy -1.998521 2.106227) (xy -1.989959 2.095756) (xy -1.971472 2.093536) + (xy -1.971387 2.093536) (xy -1.953396 2.090721) (xy -1.949381 2.084027) (xy -1.962288 2.078622) + (xy -1.96981 2.080128) (xy -1.981517 2.078688) (xy -1.984587 2.066195) (xy -1.979725 2.049102) (xy -1.967632 2.033863) + (xy -1.964531 2.031682) (xy -1.948823 2.014849) (xy -1.944687 2.001316) (xy -1.935484 1.984308) + (xy -1.914922 1.972966) (xy -1.891415 1.958807) (xy -1.885156 1.943717) (xy -1.881676 1.928116) + (xy -1.877228 1.924844) (xy -1.869052 1.916473) (xy -1.858895 1.895779) (xy -1.856742 1.890117) + (xy -1.84617 1.867535) (xy -1.835995 1.855841) (xy -1.834236 1.855391) (xy -1.828609 1.847724) (xy -1.830142 1.836945) + (xy -1.832291 1.81615) (xy -1.827712 1.798271) (xy -1.818814 1.790372) (xy -1.814663 1.791541) (xy -1.807761 1.787834) + (xy -1.805781 1.775621) (xy -1.798835 1.755424) (xy -1.78159 1.731993) (xy -1.776016 1.726406) (xy -1.756989 1.703923) + (xy -1.746794 1.6827) (xy -1.74625 1.67834) (xy -1.738632 1.656648) (xy -1.727739 1.645113) (xy -1.712429 1.626396) + (xy -1.702372 1.600931) (xy -1.69137 1.572844) (xy -1.676234 1.552264) (xy -1.661285 1.530121) (xy -1.656953 1.511548) + (xy -1.652331 1.49367) (xy -1.644181 1.488281) (xy -1.634545 1.479459) (xy -1.629058 1.457329) (xy -1.628956 1.4561) + (xy -1.61869 1.423108) (xy -1.601274 1.399049) (xy -1.578572 1.373311) (xy -1.556412 1.342796) (xy -1.53856 1.313343) + (xy -1.536722 1.309102) (xy -1.379141 1.309102) (xy -1.371938 1.319206) (xy -1.369219 1.319609) + (xy -1.359555 1.316224) (xy -1.359297 1.315234) (xy -1.366249 1.306763) (xy -1.369219 1.304727) + (xy -1.378362 1.305513) (xy -1.379141 1.309102) (xy -1.536722 1.309102) (xy -1.528785 1.290786) + (xy -1.527969 1.285504) (xy -1.519974 1.270584) (xy -1.513086 1.266412) (xy -1.500319 1.25283) (xy -1.498203 1.242894) + (xy -1.492759 1.22586) (xy -1.478565 1.199116) (xy -1.469895 1.185664) (xy -1.44829 1.185664) (xy -1.444196 1.187439) + (xy -1.438672 1.180703) (xy -1.430276 1.162615) (xy -1.429054 1.155898) (xy -1.433148 1.154124) + (xy -1.438672 1.160859) (xy -1.447068 1.178947) (xy -1.44829 1.185664) (xy -1.469895 1.185664) (xy -1.460996 1.171857) + (xy -1.439547 1.140599) (xy -1.421314 1.113028) (xy -1.411716 1.097575) (xy -1.397685 1.081287) + (xy -1.386911 1.076523) (xy -1.365295 1.067722) (xy -1.351791 1.045063) (xy -1.349375 1.027623) + (xy -1.345381 1.007476) (xy -1.336503 1.002935) (xy -1.3274 1.015638) (xy -1.326209 1.019473) (xy -1.323366 1.022563) + (xy -1.322174 1.008859) (xy -1.322238 1.002109) (xy -1.31511 0.957768) (xy -1.290993 0.911683) (xy -1.249643 0.86268) + (xy -1.226057 0.834273) (xy -1.208748 0.80547) (xy -1.203381 0.790556) (xy -1.192251 0.762637) (xy -1.172859 0.734021) + (xy -1.168674 0.729365) (xy -1.14747 0.699293) (xy -1.144208 0.677168) (xy -1.145029 0.659885) (xy -1.142062 0.654844) + (xy -0.982266 0.654844) (xy -0.97888 0.664508) (xy -0.97789 0.664766) (xy -0.969419 0.657813) (xy -0.967383 0.654844) + (xy -0.968169 0.645701) (xy -0.971758 0.644922) (xy -0.981862 0.652124) (xy -0.982266 0.654844) + (xy -1.142062 0.654844) (xy -1.134541 0.646683) (xy -1.124027 0.626638) (xy -1.122266 0.622598) + (xy -1.102391 0.576415) (xy -1.087754 0.544567) (xy -1.076242 0.522954) (xy -1.06574 0.507479) (xy -1.058313 0.498613) + (xy -1.04473 0.479129) (xy -1.045586 0.470219) (xy -1.057891 0.472967) (xy -1.078657 0.488458) (xy -1.080782 0.49046) + (xy -1.101176 0.506442) (xy -1.11683 0.512564) (xy -1.119725 0.511871) (xy -1.133091 0.513643) (xy -1.152227 0.526287) + (xy -1.154313 0.52815) (xy -1.182644 0.553219) (xy -1.20296 0.568242) (xy -1.221064 0.577419) (xy -1.223901 0.578533) + (xy -1.236786 0.589633) (xy -1.237364 0.598405) (xy -1.242126 0.610914) (xy -1.26226 0.623523) (xy -1.263619 0.624093) + (xy -1.305364 0.6422) (xy -1.342517 0.659965) (xy -1.370869 0.67523) (xy -1.386212 0.685836) (xy -1.387607 0.687685) + (xy -1.406919 0.7134) (xy -1.43841 0.737397) (xy -1.474783 0.754157) (xy -1.47599 0.754525) (xy -1.504353 0.765772) + (xy -1.516697 0.778918) (xy -1.518047 0.787052) (xy -1.520312 0.800641) (xy -1.523768 0.801259) + (xy -1.535375 0.801542) (xy -1.558365 0.808745) (xy -1.572353 0.814499) (xy -1.596915 0.823454) + (xy -1.62496 0.829031) (xy -1.661546 0.831818) (xy -1.711728 0.832403) (xy -1.725382 0.832291) (xy -1.771673 0.83099) + (xy -1.807674 0.828376) (xy -1.829937 0.824786) (xy -1.835547 0.82157) (xy -1.843824 0.816471) (xy -1.862832 0.817749) + (xy -1.890902 0.819101) (xy -1.910666 0.806536) (xy -1.923707 0.786309) (xy -1.939479 0.76919) (xy -1.954238 0.763984) + (xy -1.973139 0.756643) (xy -1.992719 0.738926) (xy -1.993155 0.738377) (xy -2.012319 0.721098) + (xy -2.032084 0.719736) (xy -2.054801 0.735078) (xy -2.08282 0.767913) (xy -2.083343 0.768611) (xy -2.107256 0.795521) + (xy -2.1322 0.816013) (xy -2.144637 0.822556) (xy -2.164632 0.832608) (xy -2.172891 0.842843) (xy -2.181146 0.851478) + (xy -2.191659 0.853281) (xy -2.209268 0.862235) (xy -2.21652 0.877558) (xy -2.22909 0.899317) (xy -2.251155 0.91868) + (xy -2.252322 0.919385) (xy -2.272311 0.933857) (xy -2.281966 0.946079) (xy -2.282125 0.947198) + (xy -2.290491 0.956803) (xy -2.311697 0.969814) (xy -2.326774 0.977048) (xy -2.352636 0.99065) (xy -2.368711 1.003401) + (xy -2.371328 1.008574) (xy -2.380491 1.026109) (xy -2.405818 1.045647) (xy -2.444069 1.065046) + (xy -2.473846 1.076402) (xy -2.509402 1.090842) (xy -2.53042 1.106273) (xy -2.540793 1.123087) (xy -2.556551 1.145331) + (xy -2.57593 1.150938) (xy -2.594041 1.153609) (xy -2.599616 1.158379) (xy -2.608666 1.166779) (xy -2.632041 1.176034) + (xy -2.66434 1.184856) (xy -2.70016 1.19196) (xy -2.734099 1.196061) (xy -2.758281 1.196147) (xy -2.813471 1.189341) + (xy -2.849121 1.181173) (xy -2.865496 1.17153) (xy -2.862861 1.160296) (xy -2.85706 1.155898) (xy -2.639219 1.155898) + (xy -2.634258 1.160859) (xy -2.629297 1.155898) (xy -2.634258 1.150938) (xy -2.639219 1.155898) + (xy -2.85706 1.155898) (xy -2.85512 1.154427) (xy -2.852104 1.147119) (xy -2.867522 1.141033) (xy -2.889449 1.127603) + (xy -2.895608 1.111714) (xy -2.904071 1.087007) (xy -2.912971 1.074205) (xy -2.924271 1.056521) + (xy -2.926211 1.039934) (xy -2.918074 1.031922) (xy -2.917031 1.031875) (xy -2.90897 1.023565) (xy -2.907109 1.012031) + (xy -2.913313 0.995871) (xy -2.921992 0.992188) (xy -2.932751 0.991442) (xy -2.935685 0.985847) + (xy -2.930952 0.970361) (xy -2.923281 0.951231) (xy -2.915366 0.925726) (xy -2.913762 0.907002) + (xy -2.914186 0.905371) (xy -2.912128 0.894188) (xy -2.907936 0.892969) (xy -2.899788 0.884502) + (xy -2.897187 0.86875) (xy -2.893529 0.847741) (xy -2.887266 0.838398) (xy -2.878303 0.824114) (xy -2.877344 0.816988) + (xy -2.873108 0.797408) (xy -2.862063 0.766799) (xy -2.846701 0.731661) (xy -2.837501 0.713116) + (xy -2.830153 0.692906) (xy -2.822974 0.664114) (xy -2.821966 0.65899) (xy -2.810874 0.626439) (xy -2.793383 0.597959) + (xy -2.791522 0.595859) (xy -2.775613 0.573333) (xy -2.768502 0.552363) (xy -2.771387 0.538452) + (xy -2.778436 0.535781) (xy -2.790633 0.543679) (xy -2.794115 0.549971) (xy -2.806743 0.563829) + (xy -2.826049 0.574632) (xy -2.864754 0.593271) (xy -2.892342 0.613259) (xy -2.899938 0.622199) + (xy -2.914201 0.631936) (xy -2.939011 0.640023) (xy -2.942898 0.640815) (xy -2.967662 0.648669) + (xy -2.982474 0.659321) (xy -2.983355 0.661001) (xy -2.992679 0.670111) (xy -2.997332 0.669154) + (xy -3.001986 0.671582) (xy -3.000192 0.679133) (xy -3.001178 0.691486) (xy -3.015188 0.694531) + (xy -3.031873 0.698858) (xy -3.036094 0.705369) (xy -3.041772 0.720159) (xy -3.05548 0.740848) (xy -3.055937 0.741433) + (xy -3.069987 0.764337) (xy -3.075781 0.783726) (xy -3.082349 0.799366) (xy -3.099464 0.823148) + (xy -3.12043 0.846731) (xy -3.143624 0.87322) (xy -3.159651 0.89655) (xy -3.164763 0.910183) (xy -3.163264 0.921489) + (xy -3.156739 0.917178) (xy -3.14988 0.908436) (xy -3.138997 0.895275) (xy -3.135581 0.898633) (xy -3.135312 0.910331) + (xy -3.139477 0.930107) (xy -3.145234 0.937617) (xy -3.153656 0.951653) (xy -3.155156 0.962422) + (xy -3.161093 0.983176) (xy -3.177493 0.987598) (xy -3.193989 0.980497) (xy -3.212749 0.97353) (xy -3.221988 0.977715) + (xy -3.217476 0.989255) (xy -3.210528 0.995336) (xy -3.202282 1.003327) (xy -3.201176 1.012949) + (xy -3.208891 1.027365) (xy -3.227108 1.049738) (xy -3.256855 1.082526) (xy -3.27016 1.101435) (xy -3.274219 1.113858) + (xy -3.282238 1.127359) (xy -3.295418 1.136182) (xy -3.31162 1.147499) (xy -3.315862 1.155898) (xy -3.322548 1.172387) + (xy -3.339875 1.191268) (xy -3.360755 1.206021) (xy -3.375167 1.210469) (xy -3.390337 1.214215) + (xy -3.393281 1.218698) (xy -3.401674 1.224822) (xy -3.415587 1.226139) (xy -3.431757 1.229649) + (xy -3.440716 1.245102) (xy -3.444046 1.260078) (xy -3.44834 1.280045) (xy -3.451289 1.28611) (xy -3.451658 1.284883) + (xy -3.456475 1.280658) (xy -3.460406 1.284883) (xy -3.47877 1.300468) (xy -3.504839 1.313984) (xy -3.527092 1.319609) + (xy -3.539202 1.328222) (xy -3.542109 1.344414) (xy -3.547284 1.364364) (xy -3.556992 1.369219) + (xy -3.570199 1.374338) (xy -3.571875 1.378691) (xy -3.580543 1.386588) (xy -3.601847 1.393708) + (xy -3.606255 1.394613) (xy -3.628738 1.400472) (xy -3.639608 1.406549) (xy -3.639807 1.407465) + (xy -3.642182 1.427912) (xy -3.658531 1.438147) (xy -3.673184 1.440524) (xy -3.697692 1.446951) + (xy -3.709354 1.462939) (xy -3.710885 1.468438) (xy -3.721111 1.487831) (xy -3.742851 1.493673) + (xy -3.743469 1.493685) (xy -3.76799 1.498228) (xy -3.782166 1.506088) (xy -3.802146 1.5168) (xy -3.810769 1.518047) + (xy -3.83087 1.525171) (xy -3.839766 1.53293) (xy -3.859356 1.545666) (xy -3.870746 1.547813) (xy -3.886464 1.555418) + (xy -3.889375 1.567408) (xy -3.892699 1.580813) (xy -3.906405 1.583186) (xy -3.917733 1.581332) + (xy -3.939208 1.580009) (xy -3.949381 1.589833) (xy -3.95153 1.596463) (xy -3.958481 1.609683) (xy -3.973971 1.615825) + (xy -4.001736 1.617266) (xy -4.045145 1.619865) (xy -4.070238 1.627839) (xy -4.077891 1.640651) + (xy -4.087383 1.647672) (xy -4.114563 1.651442) (xy -4.13592 1.651992) (xy -4.172727 1.65437) (xy -4.205211 1.660481) + (xy -4.219918 1.66589) (xy -4.244477 1.674184) (xy -4.258627 1.669482) (xy -4.275307 1.662329) (xy -4.303947 1.655368) + (xy -4.323446 1.652174) (xy -4.353793 1.646623) (xy -4.375221 1.639973) (xy -4.381182 1.636018) + (xy -4.392681 1.631014) (xy -4.395391 1.632148) (xy -4.407506 1.630493) (xy -4.410173 1.627349) + (xy -4.424286 1.618823) (xy -4.435315 1.617266) (xy -4.454727 1.609278) (xy -4.464844 1.597422) + (xy -4.477069 1.581718) (xy -4.485249 1.577578) (xy -4.501233 1.572022) (xy -4.524254 1.558329) + (xy -4.548157 1.54096) (xy -4.566783 1.524376) (xy -4.573984 1.513284) (xy -4.582026 1.500258) (xy -4.595137 1.491797) + (xy -4.613846 1.472761) (xy -4.620494 1.448113) (xy -4.625363 1.409334) (xy -4.62911 1.382789) (xy -4.632899 1.360997) + (xy -4.63782 1.336833) (xy -4.646847 1.310223) (xy -4.659027 1.292395) (xy -4.661462 1.290664) (xy -4.671652 1.280081) + (xy -4.66559 1.267821) (xy -4.658854 1.247028) (xy -4.663004 1.219732) (xy -4.668137 1.187377) (xy -4.669544 1.149749) + (xy -4.669169 1.141016) (xy -4.669173 1.132936) (xy -4.543528 1.132936) (xy -4.538184 1.151621) + (xy -4.534135 1.155998) (xy -4.52796 1.167181) (xy -4.529065 1.170343) (xy -4.52671 1.18238) (xy -4.519081 1.190902) + (xy -4.50898 1.20988) (xy -4.509818 1.221417) (xy -4.509926 1.244229) (xy -4.504392 1.260338) (xy -4.49837 1.281335) + (xy -4.505212 1.295625) (xy -4.511589 1.310359) (xy -4.502169 1.326651) (xy -4.501337 1.327579) + (xy -4.490548 1.348454) (xy -4.483454 1.37854) (xy -4.482604 1.38691) (xy -4.478622 1.414663) (xy -4.470374 1.426976) + (xy -4.462363 1.428735) (xy -4.44769 1.435959) (xy -4.445 1.444192) (xy -4.438253 1.461522) (xy -4.421723 1.481149) + (xy -4.400972 1.498359) (xy -4.381566 1.508434) (xy -4.370068 1.507695) (xy -4.351227 1.504754) + (xy -4.329755 1.513311) (xy -4.303023 1.52123) (xy -4.262423 1.525913) (xy -4.213645 1.52749) (xy -4.162376 1.526091) + (xy -4.114305 1.521848) (xy -4.075121 1.514891) (xy -4.053086 1.506961) (xy -4.02532 1.495684) (xy -3.988886 1.486277) + (xy -3.97123 1.483322) (xy -3.940603 1.478257) (xy -3.924976 1.471655) (xy -3.919542 1.460784) (xy -3.919141 1.45375) + (xy -3.913251 1.435004) (xy -3.89263 1.425675) (xy -3.891855 1.425516) (xy -3.846948 1.415762) (xy -3.816066 1.406877) + (xy -3.793583 1.396976) (xy -3.778703 1.387652) (xy -3.757577 1.374802) (xy -3.742929 1.369226) + (xy -3.742653 1.369219) (xy -3.732606 1.360951) (xy -3.727763 1.349425) (xy -3.717693 1.33236) (xy -3.702178 1.322009) + (xy -3.688116 1.321733) (xy -3.683264 1.327746) (xy -3.674037 1.338317) (xy -3.663787 1.336749) + (xy -3.661172 1.329116) (xy -3.652908 1.31196) (xy -3.630643 1.289759) (xy -3.598164 1.26626) (xy -3.59668 1.26533) + (xy -3.572294 1.247277) (xy -3.55437 1.229203) (xy -3.553949 1.228625) (xy -3.537707 1.214034) (xy -3.526664 1.210469) + (xy -3.514001 1.202514) (xy -3.512344 1.195586) (xy -3.503736 1.183606) (xy -3.48785 1.180703) (xy -3.470249 1.177272) + (xy -3.469068 1.16582) (xy -3.468953 1.153061) (xy -3.464486 1.150938) (xy -3.454896 1.142565) (xy -3.451022 1.13048) + (xy -3.444184 1.11892) (xy -3.392951 1.11892) (xy -3.391019 1.129115) (xy -3.383372 1.124527) (xy -3.375034 1.115011) + (xy -3.352451 1.095969) (xy -3.333196 1.086552) (xy -3.307884 1.074941) (xy -3.286152 1.058805) + (xy -3.274696 1.043374) (xy -3.274219 1.040472) (xy -3.281757 1.038862) (xy -3.299226 1.043577) + (xy -3.318909 1.051869) (xy -3.333089 1.06099) (xy -3.33375 1.061668) (xy -3.344876 1.070299) (xy -3.364982 1.083776) + (xy -3.365996 1.084423) (xy -3.384892 1.101367) (xy -3.392948 1.118384) (xy -3.392951 1.11892) (xy -3.444184 1.11892) + (xy -3.440542 1.112762) (xy -3.417832 1.09367) (xy -3.409047 1.088312) (xy -3.385489 1.07259) (xy -3.356781 1.049903) + (xy -3.327301 1.024204) (xy -3.326172 1.023124) (xy -3.264297 1.023124) (xy -3.258184 1.028504) + (xy -3.254375 1.026914) (xy -3.244824 1.01377) (xy -3.244453 1.01086) (xy -3.250566 1.005481) (xy -3.254375 1.00707) + (xy -3.263926 1.020214) (xy -3.264297 1.023124) (xy -3.326172 1.023124) (xy -3.301429 0.999448) + (xy -3.283543 0.979586) (xy -3.277939 0.969955) (xy -3.276152 0.957461) (xy -3.184922 0.957461) + (xy -3.179961 0.962422) (xy -3.175 0.957461) (xy -3.179961 0.9525) (xy -3.184922 0.957461) (xy -3.276152 0.957461) + (xy -3.275585 0.953494) (xy -3.275459 0.9525) (xy -3.26596 0.944415) (xy -3.251895 0.941795) (xy -3.239375 0.939506) + (xy -3.241973 0.936007) (xy -3.252285 0.925119) (xy -3.253447 0.910845) (xy -3.24494 0.902954) (xy -3.243726 0.902891) + (xy -3.236377 0.895026) (xy -3.236507 0.885527) (xy -3.231388 0.867385) (xy -3.219871 0.857431) + (xy -3.205285 0.844003) (xy -3.202809 0.833536) (xy -3.199944 0.817733) (xy -3.196705 0.814503) + (xy -3.187843 0.803002) (xy -3.180435 0.785226) (xy -3.177246 0.769506) (xy -3.179945 0.763984) + (xy -3.197031 0.769787) (xy -3.222906 0.784693) (xy -3.251245 0.804945) (xy -3.257574 0.810071) + (xy -3.281153 0.825407) (xy -3.299258 0.82578) (xy -3.302757 0.824172) (xy -3.323147 0.820129) (xy -3.332902 0.822992) + (xy -3.352929 0.827476) (xy -3.364614 0.826103) (xy -3.379823 0.826279) (xy -3.383359 0.831157) + (xy -3.392964 0.838111) (xy -3.420625 0.846181) (xy -3.46461 0.854982) (xy -3.523189 0.86413) (xy -3.54582 0.867212) + (xy -3.576339 0.875043) (xy -3.601006 0.88764) (xy -3.603547 0.889733) (xy -3.619153 0.899416) (xy -3.642158 0.904343) + (xy -3.677816 0.90541) (xy -3.693496 0.90506) (xy -3.733497 0.902206) (xy -3.761023 0.896834) (xy -3.771589 0.890903) + (xy -3.781364 0.885477) (xy -3.797524 0.895637) (xy -3.799103 0.897047) (xy -3.820534 0.908666) + (xy -3.839369 0.906902) (xy -3.849316 0.892841) (xy -3.849687 0.888319) (xy -3.853158 0.875085) + (xy -3.864792 0.877157) (xy -3.886255 0.894698) (xy -3.901705 0.906244) (xy -3.909108 0.905941) + (xy -3.909219 0.904932) (xy -3.916333 0.897763) (xy -3.923072 0.898908) (xy -3.938338 0.89567) (xy -3.952205 0.882409) + (xy -3.968901 0.867541) (xy -3.982068 0.866191) (xy -3.999002 0.863819) (xy -4.014004 0.852612) + (xy -4.033958 0.837856) (xy -4.04953 0.833438) (xy -4.06689 0.824058) (xy -4.08227 0.798571) (xy -4.096319 0.773929) + (xy -4.112088 0.758287) (xy -4.11465 0.757057) (xy -4.133326 0.74466) (xy -4.150137 0.725556) (xy -4.159267 0.707089) + (xy -4.159255 0.700731) (xy -4.162741 0.687102) (xy -4.170453 0.675926) (xy -4.18993 0.643947) (xy -4.206921 0.598583) + (xy -4.219614 0.546279) (xy -4.225611 0.498166) (xy -4.10094 0.498166) (xy -4.093486 0.515108) (xy -4.087073 0.521568) + (xy -4.073161 0.545045) (xy -4.074062 0.561563) (xy -4.072407 0.584409) (xy -4.060922 0.610591) + (xy -4.043988 0.633003) (xy -4.025987 0.644544) (xy -4.022645 0.644922) (xy -4.009911 0.652239) + (xy -4.008437 0.658039) (xy -4.000793 0.672582) (xy -3.981286 0.693063) (xy -3.955055 0.715186) + (xy -3.927237 0.734653) (xy -3.902973 0.74717) (xy -3.901018 0.747849) (xy -3.869842 0.755722) (xy -3.832142 0.761926) + (xy -3.792734 0.766129) (xy -3.756433 0.768001) (xy -3.728055 0.76721) (xy -3.712416 0.763427) (xy -3.710804 0.760918) + (xy -3.7043 0.757868) (xy -3.698433 0.760523) (xy -3.680714 0.765943) (xy -3.654614 0.768679) (xy -3.62821 0.768535) + (xy -3.609577 0.765317) (xy -3.60584 0.762753) (xy -3.594283 0.757727) (xy -3.569075 0.752297) (xy -3.544433 0.748745) + (xy -3.509145 0.742765) (xy -3.47932 0.73457) (xy -3.466665 0.728929) (xy -3.442912 0.718529) (xy -3.411874 0.710125) + (xy -3.407134 0.709253) (xy -3.37263 0.700643) (xy -3.335091 0.68744) (xy -3.326417 0.683726) (xy -3.299176 0.672356) + (xy -3.279067 0.665588) (xy -3.274327 0.664766) (xy -3.264597 0.658103) (xy -3.264297 0.65597) (xy -3.255465 0.64941) + (xy -3.233111 0.642909) (xy -3.219648 0.64048) (xy -3.193067 0.634651) (xy -3.177084 0.627589) (xy -3.175 0.62447) + (xy -3.16704 0.616023) (xy -3.161274 0.615156) (xy -3.147476 0.605844) (xy -3.137889 0.585391) (xy -3.095625 0.585391) + (xy -3.091995 0.593557) (xy -3.08901 0.592005) (xy -3.087823 0.58023) (xy -3.08901 0.578776) (xy -3.094909 0.580138) + (xy -3.095625 0.585391) (xy -3.137889 0.585391) (xy -3.135521 0.580339) (xy -3.130447 0.560586) + (xy -3.125049 0.543637) (xy -3.120634 0.532634) (xy -3.11919 0.511641) (xy -3.124073 0.503364) (xy -3.133347 0.488509) + (xy -3.134675 0.475193) (xy -3.128139 0.470467) (xy -3.123705 0.472331) (xy -3.116339 0.472904) + (xy -3.118744 0.462995) (xy -3.128492 0.438793) (xy -3.131443 0.431472) (xy -3.050654 0.431472) + (xy -3.049626 0.441466) (xy -3.04021 0.451491) (xy -3.034892 0.450703) (xy -3.030276 0.438855) (xy -3.031557 0.435877) + (xy -3.042891 0.42679) (xy -3.050654 0.431472) (xy -3.131443 0.431472) (xy -3.131852 0.430457) (xy -3.133247 0.41954) + (xy -3.121327 0.419304) (xy -3.114489 0.421048) (xy -3.098483 0.424755) (xy -3.099754 0.420616) + (xy -3.108027 0.413658) (xy -3.12358 0.395216) (xy -3.120262 0.381104) (xy -3.105547 0.374156) (xy -3.08941 0.363299) + (xy -3.085703 0.353155) (xy -3.092547 0.34008) (xy -3.106642 0.338397) (xy -3.118335 0.349109) (xy -3.118592 0.349746) + (xy -3.12219 0.351983) (xy -3.123055 0.342305) (xy -3.126211 0.317091) (xy -3.129588 0.306402) (xy -3.128906 0.291589) + (xy -3.113549 0.282818) (xy -3.090664 0.275293) (xy -3.112458 0.270971) (xy -3.126806 0.264993) + (xy -3.131766 0.250804) (xy -3.130946 0.230063) (xy -3.135734 0.187549) (xy -3.146394 0.167092) + (xy -3.1579 0.144777) (xy -3.159918 0.127125) (xy -3.159746 0.126635) (xy -3.159527 0.119063) (xy -3.135312 0.119063) + (xy -3.131682 0.127229) (xy -3.128698 0.125677) (xy -3.12751 0.113902) (xy -3.128698 0.112448) (xy -3.134596 0.11381) + (xy -3.135312 0.119063) (xy -3.159527 0.119063) (xy -3.159202 0.107829) (xy -3.165292 0.083773) + (xy -3.168287 0.07276) (xy -3.132005 0.07276) (xy -3.130643 0.078659) (xy -3.125391 0.079375) (xy -3.117224 0.075745) + (xy -3.118776 0.07276) (xy -3.130551 0.071573) (xy -3.132005 0.07276) (xy -3.168287 0.07276) (xy -3.171271 0.061788) + (xy -3.166617 0.051957) (xy -3.164574 0.051095) (xy -3.159156 0.044933) (xy -3.166436 0.038486) + (xy -3.174619 0.029545) (xy -3.165078 0.019996) (xy -3.155939 0.012192) (xy -3.165228 0.010108) + (xy -3.167559 0.010074) (xy -3.182162 0.001872) (xy -3.184922 -0.010233) (xy -3.191387 -0.029312) + (xy -3.199805 -0.036099) (xy -3.211082 -0.050801) (xy -3.214687 -0.080196) (xy -3.217961 -0.108441) + (xy -3.230695 -0.131165) (xy -3.249414 -0.150182) (xy -3.252606 -0.153789) (xy -3.165078 -0.153789) + (xy -3.160117 -0.148828) (xy -3.155156 -0.153789) (xy -3.160117 -0.15875) (xy -3.165078 -0.153789) + (xy -3.252606 -0.153789) (xy -3.274095 -0.178076) (xy -3.280313 -0.193477) (xy -3.274219 -0.193477) + (xy -3.269258 -0.188516) (xy -3.264297 -0.193477) (xy -3.269258 -0.198437) (xy -3.274219 -0.193477) + (xy -3.280313 -0.193477) (xy -3.283695 -0.201852) (xy -3.277398 -0.218867) (xy -3.271738 -0.222525) + (xy -3.269824 -0.225597) (xy -3.281019 -0.224449) (xy -3.300701 -0.226705) (xy -3.307993 -0.234261) + (xy -3.320546 -0.246741) (xy -3.326753 -0.248047) (xy -3.340807 -0.255145) (xy -3.36031 -0.272852) + (xy -3.323828 -0.272852) (xy -3.318867 -0.267891) (xy -3.313906 -0.272852) (xy -3.318867 -0.277812) + (xy -3.323828 -0.272852) (xy -3.36031 -0.272852) (xy -3.360526 -0.273048) (xy -3.369176 -0.282773) + (xy -3.37857 -0.292695) (xy -3.33375 -0.292695) (xy -3.328789 -0.287734) (xy -3.323828 -0.292695) + (xy -3.328789 -0.297656) (xy -3.33375 -0.292695) (xy -3.37857 -0.292695) (xy -3.38899 -0.3037) (xy -3.405271 -0.316069) + (xy -3.409762 -0.3175) (xy -3.423435 -0.325307) (xy -3.425143 -0.328751) (xy -3.4336 -0.332425) + (xy -3.445392 -0.323503) (xy -3.456226 -0.305099) (xy -3.456379 -0.292639) (xy -3.460388 -0.277983) + (xy -3.479125 -0.266228) (xy -3.508234 -0.250291) (xy -3.529707 -0.234502) (xy -3.54517 -0.223462) + (xy -3.552147 -0.223591) (xy -3.552178 -0.223993) (xy -3.555933 -0.223213) (xy -3.564767 -0.208501) + (xy -3.564839 -0.208359) (xy -3.583188 -0.187475) (xy -3.607833 -0.173619) (xy -3.633873 -0.163094) + (xy -3.652223 -0.15244) (xy -3.671482 -0.142489) (xy -3.698301 -0.134319) (xy -3.698379 -0.134303) + (xy -3.723819 -0.123778) (xy -3.730625 -0.110029) (xy -3.738636 -0.09298) (xy -3.75543 -0.079375) + (xy -3.773417 -0.067543) (xy -3.780234 -0.059058) (xy -3.788399 -0.050844) (xy -3.808231 -0.040433) + (xy -3.81 -0.039687) (xy -3.833061 -0.0245) (xy -3.839766 -0.003757) (xy -3.835274 0.014945) (xy -3.827363 0.021394) + (xy -3.80818 0.023487) (xy -3.790156 0.025247) (xy -3.721568 0.032294) (xy -3.66911 0.039459) (xy -3.629078 0.047463) + (xy -3.597766 0.05703) (xy -3.574355 0.067382) (xy -3.552278 0.080636) (xy -3.54417 0.094397) (xy -3.548189 0.11523) + (xy -3.555694 0.133945) (xy -3.568384 0.163711) (xy -3.686712 0.163942) (xy -3.748252 0.165108) + (xy -3.792179 0.168468) (xy -3.820625 0.174235) (xy -3.829844 0.178163) (xy -3.857719 0.189182) + (xy -3.889157 0.195806) (xy -3.917426 0.202312) (xy -3.938683 0.213073) (xy -3.939398 0.213695) + (xy -3.955238 0.222415) (xy -3.966532 0.21653) (xy -3.976665 0.212708) (xy -3.985766 0.226003) (xy -3.987799 0.231073) + (xy -4.003518 0.253845) (xy -4.027624 0.27251) (xy -4.027966 0.272688) (xy -4.048567 0.286511) (xy -4.054027 0.301766) + (xy -4.052393 0.311769) (xy -4.051818 0.332195) (xy -4.057405 0.341908) (xy -4.063654 0.354222) + (xy -4.062114 0.358633) (xy -4.064108 0.371712) (xy -4.077257 0.388492) (xy -4.091772 0.409181) + (xy -4.093241 0.425167) (xy -4.09211 0.446244) (xy -4.096881 0.473274) (xy -4.10094 0.498166) (xy -4.225611 0.498166) + (xy -4.226195 0.493483) (xy -4.226719 0.475878) (xy -4.227457 0.441746) (xy -4.229398 0.417055) + (xy -4.232133 0.40684) (xy -4.232332 0.406797) (xy -4.255686 0.415508) (xy -4.272269 0.436803) (xy -4.276328 0.455391) + (xy -4.282999 0.482083) (xy -4.300298 0.513139) (xy -4.306094 0.520898) (xy -4.323694 0.54557) (xy -4.334375 0.565411) + (xy -4.335859 0.57131) (xy -4.343093 0.587217) (xy -4.353223 0.59723) (xy -4.369881 0.615331) (xy -4.385041 0.639961) + (xy -4.399629 0.666407) (xy -4.413465 0.686649) (xy -4.424914 0.709371) (xy -4.4297 0.731297) (xy -4.436679 0.774709) + (xy -4.449203 0.816352) (xy -4.465024 0.850266) (xy -4.481893 0.87049) (xy -4.482321 0.870776) (xy -4.4973 0.88397) + (xy -4.495878 0.896072) (xy -4.493287 0.899523) (xy -4.486785 0.917596) (xy -4.492423 0.935475) + (xy -4.509087 0.977597) (xy -4.521437 1.023623) (xy -4.526549 1.061643) (xy -4.529634 1.08471) (xy -4.535817 1.097307) + (xy -4.542842 1.111208) (xy -4.543528 1.132936) (xy -4.669173 1.132936) (xy -4.669186 1.108139) + (xy -4.67273 1.080146) (xy -4.674526 1.073865) (xy -4.676855 1.045041) (xy -4.669109 1.009373) (xy -4.660248 0.974827) + (xy -4.655366 0.941703) (xy -4.655068 0.935119) (xy -4.651818 0.898591) (xy -4.643924 0.873228) + (xy -4.632724 0.863225) (xy -4.632138 0.863203) (xy -4.61503 0.856649) (xy -4.603908 0.842151) (xy -4.604176 0.827453) + (xy -4.605793 0.825441) (xy -4.609704 0.812214) (xy -4.604204 0.788043) (xy -4.588661 0.750976) + (xy -4.568762 0.71109) (xy -4.558239 0.68502) (xy -4.554141 0.663962) (xy -4.550168 0.648275) (xy -4.545007 0.644922) + (xy -4.536926 0.636326) (xy -4.529412 0.61536) (xy -4.528791 0.612676) (xy -4.518379 0.586143) (xy -4.503258 0.567507) + (xy -4.503198 0.567465) (xy -4.488399 0.551356) (xy -4.484687 0.54018) (xy -4.479148 0.527341) (xy -4.474766 0.525859) + (xy -4.465326 0.517996) (xy -4.464514 0.513457) (xy -4.457656 0.495646) (xy -4.442203 0.475322) + (xy -4.42471 0.460048) (xy -4.415063 0.456406) (xy -4.407752 0.449225) (xy -4.409306 0.440467) (xy -4.40591 0.421953) + (xy -4.384677 0.39645) (xy -4.380602 0.392634) (xy -4.360107 0.372428) (xy -4.347592 0.357328) (xy -4.345781 0.35331) + (xy -4.33945 0.335959) (xy -4.323643 0.31207) (xy -4.30314 0.287337) (xy -4.282721 0.267453) (xy -4.267165 0.258112) + (xy -4.265741 0.257969) (xy -4.252157 0.2545) (xy -4.250824 0.240405) (xy -4.252548 0.232615) (xy -4.252314 0.207164) + (xy -4.236743 0.183663) (xy -4.214574 0.160066) (xy -4.252508 0.15295) (xy -4.291215 0.151125) (xy -4.332873 0.157193) + (xy -4.33464 0.157655) (xy -4.36429 0.164033) (xy -4.380413 0.162843) (xy -4.38605 0.157809) (xy -4.40105 0.150771) + (xy -4.41913 0.152635) (xy -4.437969 0.154395) (xy -4.445 0.14971) (xy -4.453385 0.145409) (xy -4.476499 0.14986) + (xy -4.477246 0.150085) (xy -4.501606 0.15503) (xy -4.539231 0.159899) (xy -4.583554 0.163904) (xy -4.602768 0.16515) + (xy -4.656641 0.168704) (xy -4.693843 0.173134) (xy -4.71754 0.17981) (xy -4.730897 0.190106) (xy -4.737082 0.205395) + (xy -4.739114 0.224248) (xy -4.741139 0.255517) (xy -4.744167 0.296283) (xy -4.746687 0.327422) + (xy -4.750424 0.372044) (xy -4.754247 0.418245) (xy -4.756376 0.444311) (xy -4.76162 0.479031) (xy -4.770212 0.508703) + (xy -4.775382 0.519237) (xy -4.784465 0.538094) (xy -4.782872 0.55728) (xy -4.776783 0.573544) (xy -4.768963 0.596405) + (xy -4.771638 0.61094) (xy -4.783552 0.624074) (xy -4.796054 0.640726) (xy -4.792976 0.649444) (xy -4.786597 0.663112) + (xy -4.787618 0.677776) (xy -4.792333 0.703015) (xy -4.796263 0.733659) (xy -4.796318 0.734219) + (xy -4.803998 0.790721) (xy -4.814654 0.836106) (xy -4.827284 0.866197) (xy -4.8284 0.867891) (xy -4.838013 0.891733) + (xy -4.841875 0.920293) (xy -4.846587 0.947452) (xy -4.857328 0.959053) (xy -4.867946 0.971605) + (xy -4.866914 0.980272) (xy -4.867153 0.996877) (xy -4.871556 1.002057) (xy -4.879912 1.015576) + (xy -4.88598 1.039622) (xy -4.886251 1.041628) (xy -4.891701 1.065876) (xy -4.899294 1.080132) (xy -4.89991 1.080575) + (xy -4.906849 1.093126) (xy -4.913712 1.118319) (xy -4.916443 1.133574) (xy -4.922204 1.160994) + (xy -4.928957 1.177988) (xy -4.932298 1.180703) (xy -4.939411 1.189036) (xy -4.941094 1.200858) + (xy -4.947249 1.219809) (xy -4.955283 1.226458) (xy -4.967684 1.238757) (xy -4.979286 1.260937) + (xy -4.986463 1.284263) (xy -4.985733 1.299781) (xy -4.988288 1.311468) (xy -4.995773 1.3197) (xy -5.006403 1.337856) + (xy -5.010767 1.362992) (xy -5.017231 1.393887) (xy -5.030391 1.418828) (xy -5.044473 1.443669) + (xy -5.050014 1.465957) (xy -5.055853 1.483986) (xy -5.065117 1.488281) (xy -5.077238 1.496553) + (xy -5.08 1.508125) (xy -5.084523 1.524242) (xy -5.090812 1.527969) (xy -5.105794 1.535738) (xy -5.12285 1.553996) + (xy -5.135831 1.575173) (xy -5.139201 1.587579) (xy -5.144388 1.606661) (xy -5.157017 1.634884) + (xy -5.173504 1.665646) (xy -5.190266 1.692341) (xy -5.203717 1.708366) (xy -5.205385 1.709536) + (xy -5.21744 1.721446) (xy -5.218906 1.726287) (xy -5.225074 1.738436) (xy -5.240578 1.758527) (xy -5.248205 1.767181) + (xy -5.267208 1.791802) (xy -5.279045 1.814294) (xy -5.280451 1.819683) (xy -5.292167 1.838733) + (xy -5.312289 1.85023) (xy -5.336379 1.862465) (xy -5.362248 1.881041) (xy -5.384193 1.901147) (xy -5.396515 1.917972) + (xy -5.3975 1.922134) (xy -5.404826 1.936229) (xy -5.421977 1.953964) (xy -5.441711 1.96885) (xy -5.455899 1.974453) + (xy -5.473283 1.980945) (xy -5.487735 1.995542) (xy -5.492767 2.01093) (xy -5.49149 2.014573) (xy -5.493209 2.028246) + (xy -5.507442 2.045495) (xy -5.52865 2.061658) (xy -5.551295 2.072074) (xy -5.561722 2.073672) (xy -5.580122 2.077133) + (xy -5.586016 2.083594) (xy -5.578216 2.092875) (xy -5.573968 2.093516) (xy -5.567965 2.097456) + (xy -5.574607 2.106601) (xy -5.588969 2.116935) (xy -5.606122 2.124445) (xy -5.613643 2.125848) + (xy -5.627765 2.129652) (xy -5.624461 2.139644) (xy -5.623725 2.140547) (xy -5.619927 2.152431) + (xy -5.629071 2.166113) (xy -5.653073 2.183453) (xy -5.688848 2.203659) (xy -5.713681 2.220329) + (xy -5.729751 2.237537) (xy -5.732025 2.242557) (xy -5.744618 2.258161) (xy -5.765169 2.267271) + (xy -5.787607 2.277539) (xy -5.799231 2.291624) (xy -5.811143 2.305088) (xy -5.824486 2.30466) (xy -5.84064 2.305598) + (xy -5.843984 2.315151) (xy -5.853367 2.328623) (xy -5.878152 2.337151) (xy -5.904167 2.346184) + (xy -5.914889 2.362197) (xy -5.915359 2.364822) (xy -5.927234 2.384415) (xy -5.943203 2.391108) + (xy -5.96953 2.400448) (xy -5.995216 2.414587) (xy -6.018611 2.425641) (xy -6.037906 2.427079) (xy -6.038555 2.426851) + (xy -6.059587 2.425728) (xy -6.089509 2.431786) (xy -6.121607 2.442648) (xy -6.14917 2.455939) (xy -6.165483 2.469282) + (xy -6.16701 2.472326) (xy -6.179195 2.487605) (xy -6.196136 2.488832) (xy -6.206431 2.479987) (xy -6.218329 2.475307) + (xy -6.224379 2.478591) (xy -6.243764 2.487856) (xy -6.26923 2.493602) (xy -6.292577 2.494688) (xy -6.305608 2.489974) + (xy -6.305652 2.489904) (xy -6.317855 2.485208) (xy -6.323267 2.487304) (xy -6.339988 2.492383) + (xy -6.367357 2.496213) (xy -6.376085 2.496859) (xy -6.401842 2.497384) (xy -6.412526 2.493299) + (xy -6.412786 2.482185) (xy -6.41183 2.478266) (xy -6.410562 2.463294) (xy -6.420583 2.462786) (xy -6.422748 2.463574) + (xy -6.442651 2.461721) (xy -6.456589 2.45152) (xy -6.465019 2.445742) (xy -6.399609 2.445742) (xy -6.394648 2.450703) + (xy -6.389687 2.445742) (xy -6.394648 2.440781) (xy -6.399609 2.445742) (xy -6.465019 2.445742) + (xy -6.478862 2.436254) (xy -6.505897 2.426348) (xy -6.53638 2.411689) (xy -6.559944 2.383024) (xy -6.574479 2.36076) + (xy -6.546005 2.36076) (xy -6.544648 2.361406) (xy -6.535593 2.354421) (xy -6.533555 2.351484) (xy -6.531026 2.342209) + (xy -6.532384 2.341563) (xy -6.541438 2.348547) (xy -6.543477 2.351484) (xy -6.546005 2.36076) (xy -6.574479 2.36076) + (xy -6.575582 2.359071) (xy -6.588043 2.343042) (xy -6.590733 2.340574) (xy -6.607514 2.319395) + (xy -6.610427 2.311211) (xy -6.578203 2.311211) (xy -6.571001 2.321315) (xy -6.568281 2.321719) + (xy -6.558617 2.318334) (xy -6.558359 2.317343) (xy -6.558839 2.316758) (xy -6.478984 2.316758) + (xy -6.474023 2.321719) (xy -6.469062 2.316758) (xy -6.474023 2.311797) (xy -6.478984 2.316758) + (xy -6.558839 2.316758) (xy -6.565312 2.308872) (xy -6.568281 2.306836) (xy -6.577424 2.307623) + (xy -6.578203 2.311211) (xy -6.610427 2.311211) (xy -6.61908 2.286905) (xy -6.620932 2.268079) (xy -6.583596 2.268079) + (xy -6.578241 2.272109) (xy -6.565916 2.264155) (xy -6.561783 2.256799) (xy -6.559091 2.239574) + (xy -6.566134 2.237266) (xy -6.577748 2.251416) (xy -6.583596 2.268079) (xy -6.620932 2.268079) + (xy -6.622564 2.251498) (xy -6.62235 2.248022) (xy -6.623482 2.219526) (xy -6.632246 2.207617) (xy -6.588125 2.207617) + (xy -6.583164 2.212578) (xy -6.578203 2.207617) (xy -6.583164 2.202656) (xy -6.588125 2.207617) + (xy -6.632246 2.207617) (xy -6.633381 2.206074) (xy -6.634736 2.205491) (xy -6.643532 2.197861) + (xy -6.645252 2.187773) (xy -6.598047 2.187773) (xy -6.593086 2.192734) (xy -6.588125 2.187773) + (xy -6.593086 2.182813) (xy -6.598047 2.187773) (xy -6.645252 2.187773) (xy -6.64642 2.180927) (xy -6.644173 2.149802) + (xy -6.643893 2.147392) (xy -6.641895 2.114612) (xy -6.644684 2.095232) (xy -6.647684 2.091853) + (xy -6.652779 2.080463) (xy -6.649216 2.058783) (xy -6.64578 2.036051) (xy -6.643474 1.99954) (xy -6.643294 1.990435) + (xy -6.522495 1.990435) (xy -6.519812 2.00869) (xy -6.513546 2.016812) (xy -6.505029 2.02831) (xy -6.501216 2.052769) + (xy -6.501204 2.086473) (xy -6.501359 2.120485) (xy -6.499703 2.14672) (xy -6.497163 2.158008) (xy -6.474024 2.198189) + (xy -6.458994 2.229442) (xy -6.453475 2.248701) (xy -6.454152 2.25222) (xy -6.452576 2.264404) (xy -6.449625 2.266897) + (xy -6.443608 2.280107) (xy -6.443177 2.302108) (xy -6.443242 2.322338) (xy -6.43347 2.332316) (xy -6.413167 2.337505) + (xy -6.389435 2.345826) (xy -6.379781 2.357652) (xy -6.379766 2.358135) (xy -6.384871 2.367526) + (xy -6.389687 2.366367) (xy -6.39883 2.367154) (xy -6.399609 2.370743) (xy -6.393457 2.380514) (xy -6.378592 2.376719) + (xy -6.368072 2.368429) (xy -6.34931 2.359826) (xy -6.319787 2.35494) (xy -6.288034 2.354249) (xy -6.262583 2.358228) + (xy -6.254884 2.362119) (xy -6.244295 2.364017) (xy -6.2431 2.361406) (xy -6.221016 2.361406) (xy -6.213465 2.37104) + (xy -6.211094 2.371328) (xy -6.20146 2.363778) (xy -6.201172 2.361406) (xy -6.208722 2.351773) (xy -6.211094 2.351484) + (xy -6.220727 2.359035) (xy -6.221016 2.361406) (xy -6.2431 2.361406) (xy -6.237386 2.348916) (xy -6.231895 2.335644) + (xy -6.22046 2.328761) (xy -6.197725 2.326237) (xy -6.176854 2.325971) (xy -6.144191 2.323902) (xy -6.118996 2.318589) + (xy -6.110032 2.313923) (xy -6.091353 2.304639) (xy -6.072206 2.301875) (xy -6.044878 2.293116) + (xy -6.013596 2.268323) (xy -6.012179 2.266889) (xy -5.965123 2.226613) (xy -5.921867 2.205806) + (xy -5.89895 2.202656) (xy -5.872762 2.195304) (xy -5.857 2.183321) (xy -5.841782 2.1706) (xy -5.832085 2.169152) + (xy -5.82344 2.164382) (xy -5.812183 2.146143) (xy -5.808917 2.138878) (xy -5.795276 2.114235) (xy -5.778753 2.104364) + (xy -5.768376 2.103438) (xy -5.749848 2.100038) (xy -5.748069 2.089297) (xy -5.743339 2.07497) (xy -5.719526 2.058424) + (xy -5.714677 2.05595) (xy -5.692495 2.043268) (xy -5.681535 2.033535) (xy -5.681513 2.031091) (xy -5.678658 2.022413) + (xy -5.666357 2.012441) (xy -5.650309 1.99795) (xy -5.645547 1.987204) (xy -5.637546 1.974738) (xy -5.618056 1.960782) + (xy -5.615781 1.95957) (xy -5.595514 1.945602) (xy -5.586099 1.93224) (xy -5.586016 1.931226) (xy -5.578163 1.91711) + (xy -5.57528 1.914922) (xy -5.437187 1.914922) (xy -5.433557 1.923089) (xy -5.430573 1.921536) (xy -5.429385 1.909762) + (xy -5.430573 1.908307) (xy -5.436471 1.909669) (xy -5.437187 1.914922) (xy -5.57528 1.914922) (xy -5.563691 1.906125) + (xy -5.543825 1.888827) (xy -5.526309 1.863593) (xy -5.525644 1.86228) (xy -5.507991 1.836853) (xy -5.486866 1.818845) + (xy -5.485956 1.818364) (xy -5.46923 1.804371) (xy -5.464938 1.790946) (xy -5.459495 1.775341) (xy -5.450055 1.768942) + (xy -5.43115 1.756172) (xy -5.298281 1.756172) (xy -5.292035 1.765404) (xy -5.273964 1.759495) (xy -5.268516 1.756172) + (xy -5.260724 1.748934) (xy -5.270578 1.746512) (xy -5.275957 1.746402) (xy -5.293377 1.750018) + (xy -5.298281 1.756172) (xy -5.43115 1.756172) (xy -5.421505 1.749657) (xy -5.389446 1.713263) (xy -5.355413 1.661844) + (xy -5.320942 1.597483) (xy -5.315612 1.586386) (xy -5.300832 1.559893) (xy -5.28605 1.540341) (xy -5.283582 1.538043) + (xy -5.270863 1.520979) (xy -5.268516 1.51156) (xy -5.26055 1.495894) (xy -5.254528 1.492213) (xy -5.241545 1.479241) + (xy -5.234432 1.462506) (xy -5.222529 1.436522) (xy -5.208732 1.418576) (xy -5.19397 1.397985) (xy -5.18892 1.381621) + (xy -5.1829 1.361721) (xy -5.169297 1.339453) (xy -5.155518 1.318271) (xy -5.153594 1.312995) (xy -5.136224 1.312995) + (xy -5.134862 1.318893) (xy -5.129609 1.319609) (xy -5.121443 1.315979) (xy -5.122995 1.312995) + (xy -5.13477 1.311807) (xy -5.136224 1.312995) (xy -5.153594 1.312995) (xy -5.149674 1.302246) (xy -5.141668 1.290729) + (xy -5.137051 1.289837) (xy -5.127505 1.28126) (xy -5.123082 1.262856) (xy -5.118245 1.241717) (xy -5.110266 1.232133) + (xy -5.104362 1.224788) (xy -5.110824 1.214155) (xy -5.116764 1.200224) (xy -5.105639 1.187501) + (xy -5.095283 1.176575) (xy -5.087608 1.158648) (xy -5.081309 1.129382) (xy -5.075388 1.086934) + (xy -5.067486 1.061621) (xy -5.055452 1.045719) (xy -5.045773 1.033361) (xy -5.049604 1.027303) + (xy -5.052622 1.015452) (xy -5.048799 1.002109) (xy -5.020469 1.002109) (xy -5.012918 1.011743) + (xy -5.010547 1.012031) (xy -5.000913 1.004481) (xy -5.000625 1.002109) (xy -5.008175 0.992476) + (xy -5.010547 0.992188) (xy -5.02018 0.999738) (xy -5.020469 1.002109) (xy -5.048799 1.002109) (xy -5.046904 0.995497) + (xy -5.03581 0.975065) (xy -5.022699 0.961783) (xy -5.020264 0.9607) (xy -5.013016 0.949497) (xy -5.007943 0.92592) + (xy -5.007193 0.917683) (xy -5.002294 0.888969) (xy -4.991336 0.876046) (xy -4.98735 0.874846) (xy -4.974921 0.866041) + (xy -4.976076 0.852474) (xy -4.977076 0.837198) (xy -4.972618 0.833438) (xy -4.967939 0.824448) + (xy -4.966787 0.800728) (xy -4.967978 0.781348) (xy -4.971374 0.743003) (xy -4.972839 0.716193) + (xy -4.972397 0.692702) (xy -4.970073 0.664318) (xy -4.968383 0.647402) (xy -4.966029 0.617044) + (xy -4.967873 0.601488) (xy -4.97528 0.595897) (xy -4.983074 0.595313) (xy -4.996662 0.592998) (xy -4.992894 0.583149) + (xy -4.990703 0.58043) (xy -4.974228 0.567842) (xy -4.965472 0.565547) (xy -4.954873 0.556916) (xy -4.94627 0.535879) + (xy -4.945676 0.533301) (xy -4.939421 0.508483) (xy -4.933771 0.492656) (xy -4.933563 0.492291) + (xy -4.93143 0.479536) (xy -4.929347 0.451009) (xy -4.927537 0.410782) (xy -4.926225 0.362926) (xy -4.926115 0.357032) + (xy -4.924752 0.30829) (xy -4.922655 0.266449) (xy -4.920098 0.235671) (xy -4.917355 0.220121) (xy -4.917058 0.219526) + (xy -4.915587 0.20247) (xy -4.91934 0.192281) (xy -4.929275 0.182514) (xy -4.935345 0.187241) (xy -4.948434 0.19352) + (xy -4.974577 0.197583) (xy -4.995423 0.198438) (xy -5.030724 0.200763) (xy -5.050319 0.20734) (xy -5.053072 0.21084) + (xy -5.060071 0.218243) (xy -5.075141 0.212815) (xy -5.078569 0.21084) (xy -5.102645 0.199726) (xy -5.114412 0.202898) + (xy -5.116105 0.215801) (xy -5.120522 0.228644) (xy -5.139277 0.233072) (xy -5.144492 0.233164) + (xy -5.168297 0.236958) (xy -5.182192 0.245566) (xy -5.197214 0.254873) (xy -5.216919 0.25803) (xy -5.245471 0.262796) + (xy -5.272363 0.273034) (xy -5.295493 0.282932) (xy -5.311749 0.286182) (xy -5.312051 0.286139) + (xy -5.33307 0.289953) (xy -5.364894 0.304729) (xy -5.403406 0.328351) (xy -5.427266 0.345332) (xy -5.457353 0.365494) + (xy -5.480631 0.374042) (xy -5.504157 0.373554) (xy -5.504382 0.373518) (xy -5.524669 0.372128) + (xy -5.532153 0.375538) (xy -5.531777 0.376495) (xy -5.532216 0.38761) (xy -5.548403 0.398846) (xy -5.58173 0.410882) + (xy -5.625683 0.42252) (xy -5.663752 0.433486) (xy -5.695135 0.445792) (xy -5.713818 0.457032) (xy -5.71498 0.458265) + (xy -5.735301 0.4739) (xy -5.749727 0.478964) (xy -5.777228 0.490519) (xy -5.811039 0.516754) (xy -5.837264 0.543223) + (xy -5.856924 0.559328) (xy -5.873886 0.565547) (xy -5.89081 0.572229) (xy -5.912819 0.588878) (xy -5.919225 0.595037) + (xy -5.939016 0.613503) (xy -5.953444 0.623951) (xy -5.95602 0.624803) (xy -5.970795 0.632754) (xy -5.991126 0.652548) + (xy -6.012076 0.679186) (xy -6.016936 0.686491) (xy -6.033604 0.704563) (xy -6.048132 0.707754) + (xy -6.060374 0.709588) (xy -6.062266 0.715445) (xy -6.068668 0.731271) (xy -6.084117 0.751274) + (xy -6.08459 0.751769) (xy -6.108914 0.77696) (xy -6.129238 0.797904) (xy -6.144968 0.818696) (xy -6.151562 0.836628) + (xy -6.151562 0.836707) (xy -6.159473 0.853632) (xy -6.171289 0.86314) (xy -6.188438 0.880386) (xy -6.197663 0.900697) + (xy -6.211389 0.937131) (xy -6.230416 0.962423) (xy -6.251507 0.972328) (xy -6.252357 0.972344) + (xy -6.266979 0.977568) (xy -6.269214 0.984746) (xy -6.268956 1.008046) (xy -6.27377 1.036046) (xy -6.281754 1.061865) + (xy -6.291002 1.078623) (xy -6.29599 1.081484) (xy -6.305161 1.088301) (xy -6.30393 1.096494) (xy -6.304572 1.116502) + (xy -6.309116 1.124692) (xy -6.315303 1.13913) (xy -6.313495 1.144448) (xy -6.314827 1.155097) (xy -6.326719 1.171626) + (xy -6.343695 1.188223) (xy -6.360279 1.19908) (xy -6.366364 1.200547) (xy -6.374763 1.207457) (xy -6.373443 1.229675) + (xy -6.373316 1.230313) (xy -6.371429 1.251865) (xy -6.378721 1.259712) (xy -6.382901 1.260078) + (xy -6.400995 1.266684) (xy -6.405612 1.271686) (xy -6.406102 1.279203) (xy -6.396995 1.277234) + (xy -6.387074 1.276301) (xy -6.384432 1.287032) (xy -6.386775 1.307794) (xy -6.394708 1.343551) + (xy -6.405899 1.377872) (xy -6.415255 1.411667) (xy -6.419438 1.44793) (xy -6.419453 1.449805) (xy -6.421718 1.474206) + (xy -6.427357 1.487476) (xy -6.429375 1.488281) (xy -6.437593 1.496539) (xy -6.439297 1.506991) + (xy -6.443788 1.528986) (xy -6.454119 1.55412) (xy -6.467158 1.590567) (xy -6.466205 1.620452) (xy -6.458075 1.634206) + (xy -6.454378 1.645399) (xy -6.468087 1.656431) (xy -6.483329 1.670728) (xy -6.482959 1.692077) + (xy -6.4815 1.710768) (xy -6.491608 1.716463) (xy -6.492792 1.716484) (xy -6.505895 1.723594) (xy -6.507904 1.738619) + (xy -6.498346 1.751509) (xy -6.493671 1.763346) (xy -6.497053 1.769458) (xy -6.500898 1.78397) (xy -6.503561 1.813188) + (xy -6.504669 1.851987) (xy -6.504536 1.872754) (xy -6.504502 1.912664) (xy -6.505757 1.943802) + (xy -6.508057 1.961806) (xy -6.509633 1.964531) (xy -6.518868 1.972576) (xy -6.522495 1.990435) + (xy -6.643294 1.990435) (xy -6.642597 1.955298) (xy -6.642833 1.929805) (xy -6.643141 1.886793) + (xy -6.642343 1.851035) (xy -6.640605 1.827307) (xy -6.639089 1.820664) (xy -6.63259 1.805825) (xy -6.628196 1.78496) + (xy -6.625213 1.753306) (xy -6.623153 1.711523) (xy -6.62037 1.672503) (xy -6.615761 1.638289) (xy -6.613051 1.627188) + (xy -6.588125 1.627188) (xy -6.57979 1.635171) (xy -6.567696 1.637109) (xy -6.553977 1.63329) (xy -6.553398 1.627188) + (xy -6.567754 1.618058) (xy -6.573828 1.617266) (xy -6.586651 1.622813) (xy -6.588125 1.627188) + (xy -6.613051 1.627188) (xy -6.610628 1.617266) (xy -6.604152 1.597705) (xy -6.561917 1.597705) + (xy -6.556226 1.608662) (xy -6.539368 1.612018) (xy -6.531196 1.5986) (xy -6.531042 1.592461) (xy -6.498828 1.592461) + (xy -6.493867 1.597422) (xy -6.488906 1.592461) (xy -6.493867 1.5875) (xy -6.498828 1.592461) (xy -6.531042 1.592461) + (xy -6.530975 1.589785) (xy -6.529945 1.569181) (xy -6.529069 1.562695) (xy -6.498828 1.562695) + (xy -6.493867 1.567656) (xy -6.488906 1.562695) (xy -6.493867 1.557734) (xy -6.498828 1.562695) + (xy -6.529069 1.562695) (xy -6.525985 1.539877) (xy -6.525842 1.539062) (xy -6.498828 1.539062) + (xy -6.492715 1.544441) (xy -6.488906 1.542852) (xy -6.479355 1.529708) (xy -6.478984 1.526798) + (xy -6.485098 1.521418) (xy -6.488906 1.523008) (xy -6.498458 1.536151) (xy -6.498828 1.539062) + (xy -6.525842 1.539062) (xy -6.524764 1.53293) (xy -6.520599 1.508463) (xy -6.521414 1.501142) (xy -6.528438 1.508628) + (xy -6.533719 1.515881) (xy -6.550211 1.544868) (xy -6.560095 1.57414) (xy -6.561917 1.597705) (xy -6.604152 1.597705) + (xy -6.602591 1.59299) (xy -6.593214 1.559284) (xy -6.58876 1.54133) (xy -6.577571 1.506147) (xy -6.572066 1.498789) + (xy -6.488906 1.498789) (xy -6.485718 1.507804) (xy -6.478401 1.499939) (xy -6.475199 1.492727) + (xy -6.474505 1.482485) (xy -6.479098 1.483391) (xy -6.488554 1.496094) (xy -6.488906 1.498789) + (xy -6.572066 1.498789) (xy -6.564144 1.488201) (xy -6.5576 1.485208) (xy -6.543109 1.476637) (xy -6.53836 1.465407) + (xy -6.54135 1.462713) (xy -6.472988 1.462713) (xy -6.467344 1.468438) (xy -6.46114 1.461246) (xy -6.462483 1.454196) + (xy -6.463169 1.439974) (xy -6.460156 1.436832) (xy -6.453385 1.425926) (xy -6.446918 1.403222) + (xy -6.4461 1.398984) (xy -6.442353 1.376648) (xy -6.4435 1.372306) (xy -6.450892 1.384427) (xy -6.453985 1.390052) + (xy -6.466219 1.4179) (xy -6.47288 1.444035) (xy -6.472988 1.462713) (xy -6.54135 1.462713) (xy -6.545814 1.458692) + (xy -6.548437 1.458516) (xy -6.558071 1.450965) (xy -6.558359 1.448594) (xy -6.550365 1.439695) + (xy -6.544062 1.438672) (xy -6.526448 1.431521) (xy -6.522591 1.427064) (xy -6.522113 1.419583) + (xy -6.531609 1.42167) (xy -6.548308 1.422886) (xy -6.554238 1.409066) (xy -6.549529 1.37948) (xy -6.542989 1.357828) + (xy -6.532864 1.334492) (xy -6.439297 1.334492) (xy -6.434336 1.339453) (xy -6.429375 1.334492) + (xy -6.434336 1.329531) (xy -6.439297 1.334492) (xy -6.532864 1.334492) (xy -6.527318 1.321708) + (xy -6.520808 1.314648) (xy -6.429375 1.314648) (xy -6.424414 1.319609) (xy -6.419453 1.314648) + (xy -6.409531 1.314648) (xy -6.40457 1.319609) (xy -6.399609 1.314648) (xy -6.40457 1.309688) (xy -6.409531 1.314648) + (xy -6.419453 1.314648) (xy -6.424414 1.309688) (xy -6.429375 1.314648) (xy -6.520808 1.314648) + (xy -6.510596 1.303574) (xy -6.506978 1.302177) (xy -6.493234 1.292619) (xy -6.494432 1.281098) + (xy -6.505044 1.248528) (xy -6.504896 1.241242) (xy -6.486827 1.241242) (xy -6.483636 1.25717) (xy -6.47714 1.269599) + (xy -6.473261 1.263478) (xy -6.471611 1.256821) (xy -6.470697 1.238787) (xy -6.473146 1.232843) + (xy -6.482599 1.230478) (xy -6.486827 1.241242) (xy -6.504896 1.241242) (xy -6.504508 1.222213) + (xy -6.494265 1.195023) (xy -6.480048 1.170873) (xy -6.466017 1.155687) (xy -6.463352 1.154238) + (xy -6.453059 1.140753) (xy -6.449219 1.115149) (xy -6.445992 1.090272) (xy -6.441367 1.086445) + (xy -6.389687 1.086445) (xy -6.384727 1.091406) (xy -6.379766 1.086445) (xy -6.384727 1.081484) + (xy -6.389687 1.086445) (xy -6.441367 1.086445) (xy -6.435477 1.081571) (xy -6.43375 1.081484) (xy -6.423475 1.076682) + (xy -6.424403 1.071581) (xy -6.421834 1.059203) (xy -6.407507 1.041869) (xy -6.404683 1.039335) + (xy -6.386003 1.016696) (xy -6.376575 0.992876) (xy -6.376502 0.992188) (xy -6.369515 0.963248) + (xy -6.356098 0.934579) (xy -6.339913 0.912397) (xy -6.324623 0.90292) (xy -6.323879 0.902891) (xy -6.312808 0.896616) + (xy -6.313705 0.888517) (xy -6.313099 0.868508) (xy -6.303208 0.842773) (xy -6.288299 0.820085) + (xy -6.275509 0.809976) (xy -6.265846 0.797612) (xy -6.26712 0.788573) (xy -6.265264 0.773718) (xy -6.252182 0.767225) + (xy -6.237473 0.760154) (xy -6.235704 0.754377) (xy -6.232348 0.743406) (xy -6.218132 0.726304) + (xy -6.215749 0.72401) (xy -6.19881 0.70315) (xy -6.191274 0.684089) (xy -6.19125 0.683281) (xy -6.182461 0.659471) + (xy -6.160128 0.637126) (xy -6.130303 0.62084) (xy -6.101486 0.615156) (xy -6.078014 0.61371) (xy -6.06873 0.606083) + (xy -6.068017 0.587346) (xy -6.068117 0.585863) (xy -6.063724 0.560586) (xy -5.923359 0.560586) + (xy -5.918398 0.565547) (xy -5.913437 0.560586) (xy -5.918398 0.555625) (xy -5.923359 0.560586) + (xy -6.063724 0.560586) (xy -6.063146 0.55726) (xy -6.048842 0.542252) (xy -6.024377 0.523658) (xy -6.007695 0.509032) + (xy -5.986264 0.492175) (xy -5.970488 0.483502) (xy -5.955761 0.471982) (xy -5.952982 0.464159) + (xy -5.949368 0.456474) (xy -5.93723 0.44563) (xy -5.914399 0.430183) (xy -5.87871 0.408686) (xy -5.827994 0.379696) + (xy -5.817116 0.373578) (xy -5.79596 0.359724) (xy -5.784915 0.348629) (xy -5.784453 0.346987) (xy -5.77569 0.340318) + (xy -5.7525 0.330168) (xy -5.719533 0.318523) (xy -5.71252 0.316296) (xy -5.669913 0.301568) (xy -5.628262 0.284812) + (xy -5.597585 0.270169) (xy -5.571083 0.256551) (xy -5.555128 0.252467) (xy -5.543364 0.257055) + (xy -5.537422 0.26201) (xy -5.518482 0.270054) (xy -5.503372 0.261187) (xy -5.496745 0.238328) (xy -5.496719 0.23649) + (xy -5.487754 0.217118) (xy -5.46438 0.203379) (xy -5.433464 0.198438) (xy -5.412539 0.19535) (xy -5.403253 0.189798) + (xy -5.391023 0.183604) (xy -5.366011 0.177658) (xy -5.350578 0.175338) (xy -5.309797 0.16891) (xy -5.267705 0.160226) + (xy -5.258594 0.157984) (xy -5.218922 0.150195) (xy -5.177313 0.145531) (xy -5.169297 0.145158) + (xy -5.141077 0.142912) (xy -5.127076 0.136491) (xy -5.121721 0.123141) (xy -5.121478 0.121543) + (xy -5.114826 0.109141) (xy -4.990703 0.109141) (xy -4.987073 0.117307) (xy -4.984089 0.115755) + (xy -4.982901 0.10398) (xy -4.984089 0.102526) (xy -4.989987 0.103888) (xy -4.990703 0.109141) (xy -5.114826 0.109141) + (xy -5.111315 0.102594) (xy -5.096673 0.09825) (xy -5.037731 0.094818) (xy -4.997178 0.09055) (xy -4.973574 0.085277) + (xy -4.968919 0.083081) (xy -4.948766 0.077561) (xy -4.93528 0.077697) (xy -4.911291 0.073415) (xy -4.896755 0.057542) + (xy -4.896119 0.036078) (xy -4.899402 0.029288) (xy -4.905717 0.001466) (xy -4.902407 -0.01225) + (xy -4.895133 -0.038553) (xy -4.887119 -0.07887) (xy -4.879264 -0.12744) (xy -4.872465 -0.178502) + (xy -4.867621 -0.226295) (xy -4.866438 -0.243086) (xy -4.863414 -0.286233) (xy -4.859204 -0.336417) + (xy -4.855794 -0.37207) (xy -4.851933 -0.414185) (xy -4.848999 -0.454608) (xy -4.847744 -0.481211) + (xy -4.845508 -0.509255) (xy -4.8411 -0.529069) (xy -4.839815 -0.531673) (xy -4.83471 -0.548004) + (xy -4.83098 -0.574741) (xy -4.830508 -0.581282) (xy -4.825351 -0.612945) (xy -4.815708 -0.63963) + (xy -4.814114 -0.642365) (xy -4.805499 -0.66466) (xy -4.805959 -0.680126) (xy -4.806359 -0.699259) + (xy -4.799429 -0.725391) (xy -4.798194 -0.728472) (xy -4.791009 -0.75396) (xy -4.791697 -0.772724) + (xy -4.792414 -0.774146) (xy -4.796646 -0.793789) (xy -4.795279 -0.800208) (xy -4.798444 -0.814477) + (xy -4.805493 -0.819292) (xy -4.815314 -0.830626) (xy -4.812361 -0.84515) (xy -4.798981 -0.853203) + (xy -4.797227 -0.853281) (xy -4.782531 -0.861637) (xy -4.776731 -0.882706) (xy -4.778996 -0.901084) + (xy -4.776321 -0.921352) (xy -4.762666 -0.932568) (xy -4.747838 -0.946452) (xy -4.746436 -0.957959) + (xy -4.744041 -0.974374) (xy -4.738528 -0.979523) (xy -4.73174 -0.986061) (xy -4.725903 -0.999133) + (xy -4.720148 -1.022123) (xy -4.713608 -1.058417) (xy -4.706436 -1.104547) (xy -4.699483 -1.130308) + (xy -4.689437 -1.146294) (xy -4.686973 -1.147776) (xy -4.6745 -1.160545) (xy -4.673203 -1.166882) + (xy -4.668115 -1.179398) (xy -4.664385 -1.180703) (xy -4.65696 -1.189376) (xy -4.650021 -1.210734) + (xy -4.649013 -1.215642) (xy -4.637924 -1.246253) (xy -4.618909 -1.277255) (xy -4.614521 -1.282614) + (xy -4.594782 -1.309035) (xy -4.580828 -1.334428) (xy -4.57899 -1.339453) (xy -4.544167 -1.420329) + (xy -4.491601 -1.492767) (xy -4.477881 -1.507379) (xy -4.450071 -1.53864) (xy -4.4315 -1.565394) + (xy -4.425156 -1.582681) (xy -4.416591 -1.601695) (xy -4.395839 -1.618273) (xy -4.370318 -1.626901) + (xy -4.365272 -1.627187) (xy -4.347133 -1.634955) (xy -4.328544 -1.652959) (xy -4.317003 -1.673253) + (xy -4.316016 -1.679397) (xy -4.311133 -1.701672) (xy -4.299002 -1.730207) (xy -4.2834 -1.757884) + (xy -4.268102 -1.777587) (xy -4.261375 -1.782377) (xy -4.246783 -1.795635) (xy -4.241311 -1.806698) + (xy -4.230176 -1.822293) (xy -4.221089 -1.825625) (xy -4.20832 -1.831201) (xy -4.206875 -1.835547) + (xy -4.199408 -1.845181) (xy -4.197067 -1.845469) (xy -4.17879 -1.853164) (xy -4.171182 -1.860352) + (xy -4.008437 -1.860352) (xy -4.003477 -1.855391) (xy -3.998516 -1.860352) (xy -4.003477 -1.865312) + (xy -4.008437 -1.860352) (xy -4.171182 -1.860352) (xy -4.159965 -1.87095) (xy -4.148305 -1.890873) + (xy -4.147344 -1.896722) (xy -4.139468 -1.913363) (xy -4.120482 -1.929715) (xy -4.120059 -1.929968) + (xy -4.09735 -1.948189) (xy -4.072823 -1.974686) (xy -4.064845 -1.985099) (xy -4.046736 -2.007898) + (xy -4.032192 -2.021926) (xy -4.027638 -2.024062) (xy -4.019158 -2.031991) (xy -4.018359 -2.037383) + (xy -4.010261 -2.049832) (xy -3.990002 -2.064868) (xy -3.981423 -2.069629) (xy -3.939018 -2.095331) + (xy -3.913732 -2.120835) (xy -3.906158 -2.135684) (xy -3.892259 -2.149985) (xy -3.879765 -2.153047) + (xy -3.863359 -2.159178) (xy -3.859609 -2.16793) (xy -3.853514 -2.181121) (xy -3.848288 -2.182812) + (xy -3.835889 -2.189469) (xy -3.814951 -2.206796) (xy -3.793573 -2.227461) (xy -3.759001 -2.257997) + (xy -3.731478 -2.271484) (xy -3.725519 -2.272109) (xy -3.706945 -2.275686) (xy -3.700859 -2.282507) + (xy -3.694207 -2.297041) (xy -3.678381 -2.312973) (xy -3.65958 -2.325726) (xy -3.644 -2.330724) + (xy -3.638883 -2.32827) (xy -3.629285 -2.324377) (xy -3.619433 -2.335022) (xy -3.617279 -2.341562) + (xy -3.403203 -2.341562) (xy -3.399573 -2.333396) (xy -3.396589 -2.334948) (xy -3.395401 -2.346723) + (xy -3.396589 -2.348177) (xy -3.402487 -2.346815) (xy -3.403203 -2.341562) (xy -3.617279 -2.341562) + (xy -3.612771 -2.355249) (xy -3.611714 -2.367365) (xy -3.603016 -2.3867) (xy -3.576501 -2.409505) + (xy -3.574195 -2.411016) (xy -3.413125 -2.411016) (xy -3.405575 -2.401382) (xy -3.403203 -2.401094) + (xy -3.39357 -2.408644) (xy -3.393281 -2.411016) (xy -3.400832 -2.420649) (xy -3.403203 -2.420937) + (xy -3.412837 -2.413387) (xy -3.413125 -2.411016) (xy -3.574195 -2.411016) (xy -3.561769 -2.419159) + (xy -3.533891 -2.435919) (xy -3.512857 -2.447516) (xy -3.504719 -2.451) (xy -3.495809 -2.459833) + (xy -3.490339 -2.471139) (xy -3.475609 -2.48694) (xy -3.462402 -2.490391) (xy -3.427277 -2.498732) + (xy -3.395314 -2.520085) (xy -3.385277 -2.531653) (xy -3.369911 -2.546262) (xy -3.359858 -2.549922) + (xy -3.346122 -2.557263) (xy -3.328751 -2.575261) (xy -3.326257 -2.578525) (xy -3.303943 -2.601052) + (xy -3.272252 -2.624237) (xy -3.237762 -2.644103) (xy -3.207052 -2.656675) (xy -3.193009 -2.659062) + (xy -3.180118 -2.663124) (xy -3.180016 -2.669073) (xy -3.183701 -2.690288) (xy -3.170941 -2.708672) + (xy -2.926953 -2.708672) (xy -2.923323 -2.700505) (xy -2.920339 -2.702057) (xy -2.919151 -2.713832) + (xy -2.920339 -2.715286) (xy -2.926237 -2.713924) (xy -2.926953 -2.708672) (xy -3.170941 -2.708672) + (xy -3.170638 -2.709109) (xy -3.143875 -2.721775) (xy -3.135979 -2.723442) (xy -3.097308 -2.737717) + (xy -2.803148 -2.737717) (xy -2.799672 -2.729552) (xy -2.796829 -2.727601) (xy -2.77782 -2.719388) + (xy -2.769307 -2.727161) (xy -2.768203 -2.738787) (xy -2.77057 -2.752562) (xy -2.781368 -2.751651) + (xy -2.789387 -2.747642) (xy -2.803148 -2.737717) (xy -3.097308 -2.737717) (xy -3.091465 -2.739874) + (xy -3.086523 -2.743398) (xy -2.877344 -2.743398) (xy -2.872383 -2.738437) (xy -2.867422 -2.743398) + (xy -2.872383 -2.748359) (xy -2.877344 -2.743398) (xy -3.086523 -2.743398) (xy -3.065651 -2.758281) + (xy -2.852539 -2.758281) (xy -2.851752 -2.749138) (xy -2.848164 -2.748359) (xy -2.83806 -2.755562) + (xy -2.837656 -2.758281) (xy -2.841041 -2.767945) (xy -2.842032 -2.768203) (xy -2.850503 -2.761251) + (xy -2.852539 -2.758281) (xy -3.065651 -2.758281) (xy -3.046669 -2.771816) (xy -2.805117 -2.771816) + (xy -2.794834 -2.773837) (xy -2.794411 -2.773998) (xy -2.780371 -2.786224) (xy -2.778125 -2.794069) + (xy -2.784763 -2.806562) (xy -2.789701 -2.807891) (xy -2.796372 -2.803908) (xy -2.794748 -2.801362) + (xy -2.794508 -2.78945) (xy -2.799458 -2.781291) (xy -2.805117 -2.771816) (xy -3.046669 -2.771816) + (xy -3.043614 -2.773994) (xy -3.006768 -2.810371) (xy -2.98603 -2.828493) (xy -2.967288 -2.837452) + (xy -2.965017 -2.837656) (xy -2.946952 -2.842774) (xy -2.941836 -2.847578) (xy -2.927532 -2.856587) + (xy -2.920698 -2.8575) (xy -2.901699 -2.864069) (xy -2.901639 -2.864115) (xy -2.874036 -2.864115) + (xy -2.872674 -2.858216) (xy -2.867422 -2.8575) (xy -2.859255 -2.86113) (xy -2.860807 -2.864115) + (xy -2.872582 -2.865302) (xy -2.874036 -2.864115) (xy -2.901639 -2.864115) (xy -2.890809 -2.872383) + (xy -2.870047 -2.88481) (xy -2.85746 -2.887266) (xy -2.839268 -2.895389) (xy -2.83348 -2.904636) + (xy -2.818216 -2.923105) (xy -2.786838 -2.939643) (xy -2.743073 -2.952311) (xy -2.740843 -2.952765) + (xy -2.716326 -2.962064) (xy -2.708672 -2.977737) (xy -2.708672 -2.977803) (xy -2.70214 -2.992588) + (xy -2.683867 -2.996406) (xy -2.665047 -3.000452) (xy -2.659185 -3.016454) (xy -2.659062 -3.021457) + (xy -2.651701 -3.044712) (xy -2.634394 -3.055886) (xy -2.610209 -3.066176) (xy -2.578898 -3.08083) + (xy -2.567421 -3.086492) (xy -2.53946 -3.097773) (xy -2.505901 -3.105184) (xy -2.461306 -3.109687) + (xy -2.426319 -3.111417) (xy -2.379898 -3.112747) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b03a4321-72e8-498e-b1e6-2b9b2c119365") + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "b700e715-2273-449b-905b-1e77a65eb02d") + (at 82.296 86.8465 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C10" + (at 2.043 3.062 -90) + (layer "F.SilkS") + (uuid "a4d33bc3-0ca7-4076-a8a3-afac13bcc590") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100nF" + (at 0 1.68 -90) + (layer "F.Fab") + (uuid "5b2e9367-834a-4e1e-a5d0-553558c75377") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "18472789-5be5-4e12-b648-59243fe864b3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6b941c9d-8b4e-4cd0-8222-7a0447e3bb55") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8b56925b-a35c-4606-8df5-acf3553d92d9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/5f77c9a5-9dc5-4b0f-818c-51cdf63f2fc3") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6b760dce-6a22-4906-87ef-a0daceacfa28") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "022ac0b5-aff0-400b-a077-3dd3ca8b9f25") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "72bcd33f-3529-4754-a65c-b4fe16002983") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "af73d705-cde7-4564-9e69-d96968bc0a74") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "76eceaa0-dd7a-4d54-9922-eda9a8f3d4c0") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d6cca4f7-8a8e-45bd-840a-4f2d14d45564") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ac8d7202-a7b6-436c-9711-f78d9be40166") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "69414177-341a-48a1-9533-5fb7dd936fd7") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "72f246a9-af30-4654-8711-d332d09b8314") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0718fa15-84c3-4d98-a163-d001fdc908a0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "201d9bf8-8110-4b11-815f-8887201b728e") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 11 "3.3V") + (pintype "passive") + (uuid "5070f180-8a66-4860-a11f-e4fee2d29962") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "46809f3d-cddd-4cb5-812c-01400e83b716") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "c73265cd-ca2e-41c0-926b-b5f6a965d0d8") + (at 64.017 116.84 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R1" + (at 0 -1.65 180) + (layer "F.SilkS") + (uuid "32768e76-7531-4204-a8bb-5db5fbc9a439") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "330R" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "80e06f0b-ab6b-4154-a4bb-26ff88b40fb7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a7d26099-8f80-4c43-ac45-11f9803f2cf5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55271bd6-103a-4954-bd5c-eafc0e78dae1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d45d84f4-f501-4390-82ee-4cdf9c9b304c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/1f009bc9-3142-4e8d-a6c8-f122f5c33ce4") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "583198ac-573a-45da-90ba-34e6473ce3d0") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e3c1f245-40fc-4161-9805-e21ca39a872c") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "19ff3e88-3eba-4022-913f-db0d01c820f6") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "67355664-d9cb-4d40-a097-3d07f2c313a9") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f144efd1-933b-4e1a-a1f4-f48293455de5") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d85f3b39-df70-42db-b9c2-b099ab860f06") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "702b8628-2f94-46fc-a89b-ad8fa8ce4ceb") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "35bdf62e-a219-4b2c-909c-787b582932e1") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6d6916eb-9448-47a6-aeea-d27323c62b47") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7d54e66b-c026-4a96-8aa5-521d0aea0e16") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "7ab1e2d1-0af4-4f5f-8549-30c278f4fed8") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "4872be63-a080-4851-af30-fada661fc37c") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 14 "Net-(D2-K)") + (pintype "passive") + (uuid "3b5cf717-58fb-424b-a811-a892b88dd062") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "cdd92e63-a718-4660-9bfc-13218e901054") + (at 79.756 132.08 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C5" + (at 0 -1.68 180) + (layer "F.SilkS") + (uuid "cfefd4d1-8eab-4a54-a8fd-8fd5f0e47820") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100nF" + (at 0 1.68 180) + (layer "F.Fab") + (uuid "a9bce7a7-8a4c-4c93-88c7-db3974be02ba") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0172df26-36bf-4288-bd3d-23619ff39948") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "53afa0a6-dad4-4cf1-9c16-c1baaeb31954") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "72ebc2c4-c910-4124-8775-4b17f5d1d26d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/4b870a73-56fc-497f-8f55-17b56847ed15") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3e33aa09-b4a0-40b6-821a-90f4228cf8a6") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7dd7bcfc-b832-4a6a-829c-df32eb115ca8") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a1b6b0ba-6265-42b4-8fd5-6fa0c529dded") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c7db2600-a910-41d7-9859-327064459987") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1c254bb1-c315-4430-ad69-c0afc592ef0e") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "025a6bc5-fed1-41fe-a235-ce7ef2067026") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "94a8c8f8-c91b-4dbe-8262-288ebe3e9551") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1bdef9ac-8d69-4699-ae26-b6092d53c672") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "742bf51c-0c27-4f0b-8b21-07389cb10a9c") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f86b0c48-9046-495c-beca-94984981f021") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "ba7150a5-e967-4d99-96c0-12e6be01e159") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 7 "Net-(U3-~{DTR})") + (pintype "passive") + (uuid "f6e245b9-cb49-43fa-a423-8663d9190ac8") + ) + (pad "2" smd roundrect + (at 1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 6 "RST") + (pintype "passive") + (uuid "6e9ed417-441d-4585-a15f-8c843e4cc285") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "d8690ce9-a0b9-4abc-b122-fc1f0825c51d") + (at 98.044 98.044) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C9" + (at 0 -1.68 0) + (layer "F.SilkS") + (uuid "f6c3f0a5-4b78-408f-ab30-6690333fded3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "100nF" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "0ba8e352-fdd3-47f0-aacb-8bfeaebf2f72") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1f02bce2-6ffb-479f-b8c1-7ef10a52e067") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dc3ee414-394b-4bca-8ccd-7467c66c6ffa") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3eea7680-b93d-454c-bff7-faf7271f0b4f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/0bdcdede-2fd2-40e2-99eb-8b6001a3b37c") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "17a72410-6682-4c07-8beb-04a3fb53b30d") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a6514e8a-fc93-4e04-a279-0fc3017d380b") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6ef18317-485b-4f40-bf3a-d38bc4d6ddb9") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9d984e9d-5f67-441f-918e-2c86472a1341") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5a06fe3d-b1c0-44ab-bb16-d41d892c2514") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e52b8395-021b-4d31-828f-ddea15f021db") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "63d26821-2879-44c8-94f6-fb9a3cc2c440") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bde8d425-a610-4505-9321-55c4a0717a01") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a92545b5-eaf8-4aae-9b06-9e4439428882") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bbd13722-3209-421c-a654-ce95e4abd18b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "1f5345e6-abf2-41c4-8455-446fe8ef0384") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 10 "5V") + (pintype "passive") + (uuid "ecf06401-9467-494e-aa7c-e361b30499e9") + ) + (pad "2" smd roundrect + (at 1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "0959f4ed-db6b-4ad8-9830-b5564fa1ed4a") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (layer "F.Cu") + (uuid "de60a2a6-6c22-4779-bc12-c7d80aad5e0f") + (at 63.5 89.916 90) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "Reference" "U4" + (at 0 -4.5 -90) + (layer "F.SilkS") + (uuid "9bd10ba1-68c6-4843-a21b-d1fc138bed47") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 0 4.5 -90) + (layer "F.Fab") + (uuid "e689616c-e06a-41ec-96cf-9f9f7819e44b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9fa5a0ec-6de0-4537-853f-c01e856e99a1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2d81e964-75c8-4326-8ef1-266664007f91") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "61d3e5c3-a22a-4501-aaba-8a5c01e71551") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "SOT?223*TabPin2*") + (path "/a5027e46-3ad8-4a0c-a6c5-d5e8ea0ad2ab") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start 1.91 -3.41) + (end 1.91 -2.15) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "655f460a-5219-42b8-a078-aee6b15c669b") + ) + (fp_line + (start -1.85 -3.41) + (end 1.91 -3.41) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d4bc9c37-9cf7-4f23-978e-ae17ff6e5423") + ) + (fp_line + (start 1.91 3.41) + (end 1.91 2.15) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4d38708f-a2af-4ea6-81e8-3174e3293611") + ) + (fp_line + (start -1.85 3.41) + (end 1.91 3.41) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2595fa8f-2f4d-41b7-a658-882afe4442e7") + ) + (fp_poly + (pts + (xy -3.13 -3.31) (xy -3.37 -3.64) (xy -2.89 -3.64) (xy -3.13 -3.31) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "553b81e0-f8e6-4233-a229-0ce0d0ac33e9") + ) + (fp_line + (start 4.4 -3.6) + (end -4.4 -3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2e140c23-f422-4047-9e7a-fd611bb34ff5") + ) + (fp_line + (start -4.4 -3.6) + (end -4.4 3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9a30821c-5621-469a-8e5b-96a8b7c65138") + ) + (fp_line + (start 4.4 3.6) + (end 4.4 -3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "df09e2e3-b778-4530-87d6-ce266c773b74") + ) + (fp_line + (start -4.4 3.6) + (end 4.4 3.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8a441150-9990-46d5-b187-02465c394d33") + ) + (fp_line + (start 1.85 -3.35) + (end 1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d990abc9-f16f-49aa-9736-4f8a82e7fb9b") + ) + (fp_line + (start -0.85 -3.35) + (end 1.85 -3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "537b0bf6-389a-4025-9929-f57c7d62aaaa") + ) + (fp_line + (start -1.85 -2.35) + (end -0.85 -3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "058ed2d1-7dbc-4960-98fb-77b7c5128023") + ) + (fp_line + (start -1.85 -2.35) + (end -1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "794f7e93-f7ac-4377-8e02-f6a773c1400e") + ) + (fp_line + (start -1.85 3.35) + (end 1.85 3.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "10ed9b87-7180-4fb0-9256-22c5eb8e77af") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "4872521b-cc1a-4cc0-b2a4-83c059b0e665") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -3.15 -2.3 90) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "ad34922b-641b-423a-92fe-b64849034018") + ) + (pad "2" smd roundrect + (at -3.15 0 90) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "3.3V") + (pinfunction "VO") + (pintype "power_out") + (uuid "269367fb-ef43-43da-9005-5559de9558a4") + ) + (pad "2" smd roundrect + (at 3.15 0 90) + (size 2 3.8) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "3.3V") + (pinfunction "VO") + (pintype "power_out") + (uuid "a0291470-ed69-430d-9482-a282fd742d3b") + ) + (pad "3" smd roundrect + (at -3.15 2.3 90) + (size 2 1.5) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 8 "VCC") + (pinfunction "VI") + (pintype "power_in") + (uuid "934a3aac-b9c1-4b4d-a9e6-330c15e39492") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "dea343cf-0181-44bf-afdb-49361d124d95") + (at 91.44 104.648) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C3" + (at 0 -1.68 0) + (layer "F.SilkS") + (uuid "e9dcee02-ceb0-49e2-b297-8e2dc329701e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "22pf" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "b8b08ec9-3088-4e7f-86f8-fdbbafcf262a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9634eedd-303d-413b-bf52-d18d6e235905") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "03b438e9-a4ae-4d68-9aa9-97c3309317b4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6a1d68f0-9f92-4c03-8a44-7ebe238e393d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ff5a43a3-4520-4be3-a6e1-c4335785eba5") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "318dce63-60ea-4064-b726-db50e5c87e91") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4df3b9dd-f613-43bd-8b5e-3197151a1fa9") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5bce9862-4602-4900-9cf1-ef70157858f6") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6a569314-faad-4f69-b34d-7709eff68e44") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "916732d4-a7c4-4469-b63d-d9283eab79cd") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ac68ee8b-4273-411f-b9f0-8516d7d1d60c") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d82ddce7-2b76-4369-ba3f-005a71f1f9cb") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "abfe980c-2b75-4947-b5f6-5372b857cd1f") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6d41dfeb-86b2-4239-b98b-48a9a196b707") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0372a6c3-5ad0-4d4c-875d-b0412405133c") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "684a8b8b-ea12-4fac-9a1d-dc94ae47a203") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "1028ee40-3b10-438b-ba8b-b5d79e960772") + ) + (pad "2" smd roundrect + (at 1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 4 "Net-(U3-XO)") + (pintype "passive") + (uuid "68bfa7d4-5354-491c-8487-1c96020d7176") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "f0cddde2-3de5-4a0b-ae29-0b67cc2aa240") + (at 87.3975 104.648 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C4" + (at 0 -1.68 180) + (layer "F.SilkS") + (uuid "5a1f8560-e8aa-4015-9a2e-122e135480a7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "22pf" + (at 0 1.68 180) + (layer "F.Fab") + (uuid "71ed987f-f480-4cdb-aa50-b99a1142ee4c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f1ca2d02-17ff-4d69-86de-2efffdf8c1f9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d494a61e-1c8b-483b-b776-97799c5837fe") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6478fa8f-023f-447f-98cd-b4229d614185") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/34149e62-f50f-45fd-a885-e715ea0794fc") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b5d0bfb7-9cd2-4ebb-a2b6-ec17bf39cedb") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6b848f4a-2292-4201-9867-ae9651b3db29") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ed4b752f-1778-4c3f-8567-2be2c8ba0db5") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb2e2f88-0923-401f-a92b-415338cc4dda") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb29e099-2c3b-4675-9a75-bb3b8ce8cdcd") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "27d16293-627e-4207-a3c0-01fa9c657538") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e495dbf0-db16-45fa-aa7a-6ffff072cd5e") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ccc2f7b0-7764-440c-a491-c84bab82a9a3") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "42271a45-d804-495c-881d-d6a8018fd383") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b81b1adf-f3af-426c-a800-7ac0b415dbc4") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "9c2be0e9-d14f-4aa5-9624-9db6da46db79") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "1ea0238e-8dc4-447d-842d-6a4aa6851d64") + ) + (pad "2" smd roundrect + (at 1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 5 "Net-(U3-XI)") + (pintype "passive") + (uuid "53536ff6-2340-4bb2-9cf5-de02cdfd8e1c") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x07_P2.54mm_Vertical" + (layer "F.Cu") + (uuid "f85f3c3c-84a5-43b8-b889-7bd925cdd5d0") + (at 110.744 94.996) + (descr "Through hole straight pin header, 1x07, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x07 2.54mm single row") + (property "Reference" "J2" + (at 0 -2.33 0) + (layer "F.SilkS") + (uuid "bcbd6536-3bf1-4b05-bb26-6e0aec44ffa7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_01x07_Pin" + (at 10.16 17.629 0) + (layer "F.Fab") + (uuid "65fae7d2-61d7-4b07-a020-d4d386d88961") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x07_P2.54mm_Vertical" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9e0b22dd-ea09-45ad-9655-6a94ce69123e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f8e0559f-b667-41d7-9840-a2180a62bbb0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x07, script generated" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "aa7bf7cf-a5f6-4490-ad01-8922e250fad7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/f72ff772-5292-4285-8322-a3cae0cc448e") + (sheetname "Root") + (sheetfile "arduino_v1.kicad_sch") + (attr through_hole) + (fp_line + (start -1.33 -1.33) + (end 0 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39280d38-1a34-4d2b-a869-7e2503002cee") + ) + (fp_line + (start -1.33 0) + (end -1.33 -1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "be20837b-ed82-4eb0-9eee-97b9cedd52b5") + ) + (fp_line + (start -1.33 1.27) + (end -1.33 16.57) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8e725450-cee2-4860-8d37-61d1fcca5124") + ) + (fp_line + (start -1.33 1.27) + (end 1.33 1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "65536298-8138-4afc-9b36-21d251024b06") + ) + (fp_line + (start -1.33 16.57) + (end 1.33 16.57) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b3591e37-d271-4629-bf31-10c4b469b9c4") + ) + (fp_line + (start 1.33 1.27) + (end 1.33 16.57) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ef4876ca-dff9-44c8-a539-81d23003ef90") + ) + (fp_line + (start -1.8 -1.8) + (end -1.8 17.05) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "057ce0ac-430d-4c37-9d64-1f4447569a90") + ) + (fp_line + (start -1.8 17.05) + (end 1.8 17.05) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b214620f-839f-4b15-bdc2-d90035d0342c") + ) + (fp_line + (start 1.8 -1.8) + (end -1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6fb22b8f-c0cb-4ae2-b608-6edfd3200e9a") + ) + (fp_line + (start 1.8 17.05) + (end 1.8 -1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e379397d-edc8-426c-a161-2323693f8b53") + ) + (fp_line + (start -1.27 -0.635) + (end -0.635 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "83183d22-3626-48af-8491-adba061dfe4d") + ) + (fp_line + (start -1.27 16.51) + (end -1.27 -0.635) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e92add94-3a31-49ed-81c8-f1d9dbb3fba1") + ) + (fp_line + (start -0.635 -1.27) + (end 1.27 -1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "429d3f5e-103c-4869-8525-0f0473636832") + ) + (fp_line + (start 1.27 -1.27) + (end 1.27 16.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "34b3a32f-a067-4d8d-9497-2ad218e918a1") + ) + (fp_line + (start 1.27 16.51) + (end -1.27 16.51) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e6b8a16c-1b65-470d-8281-34928bfdd758") + ) + (fp_text user "${REFERENCE}" + (at 0 7.62 90) + (layer "F.Fab") + (uuid "2c07a67c-d324-4a4c-b521-0e945cca10cf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "D8") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "77b3eb14-e516-4a9f-80f9-58aca0c55685") + ) + (pad "2" thru_hole oval + (at 0 2.54) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 29 "D9") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "b72a5a88-77f4-4d60-81f4-643b23635213") + ) + (pad "3" thru_hole oval + (at 0 5.08) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 31 "D10") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "de9a6f27-63b9-4927-a943-f80854ac59fc") + ) + (pad "4" thru_hole oval + (at 0 7.62) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 30 "D11") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "bbe1e91f-d8d9-4350-9cdd-ebd7debbf41d") + ) + (pad "5" thru_hole oval + (at 0 10.16) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "D12") + (pinfunction "Pin_5") + (pintype "passive") + (uuid "85de9345-a679-47af-9e69-5f2266504f28") + ) + (pad "6" thru_hole oval + (at 0 12.7) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "D13") + (pinfunction "Pin_6") + (pintype "passive") + (uuid "670e5b55-7dd1-4bc9-80e3-d074c565c74e") + ) + (pad "7" thru_hole oval + (at 0 15.24) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Pin_7") + (pintype "passive") + (uuid "30a6ccf5-55b2-48b9-a21b-568e9c526426") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x07_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "kilibimg:Hackclub_logo" + (layer "B.Cu") + (uuid "4f1aa632-a656-4590-99c1-f0d3126b6508") + (at 96.75 118.5 180) + (property "Reference" "G***" + (at 0 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "c586cc49-5890-4d82-98d4-409e47b97375") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "d66fec71-396f-4422-9b3e-0f7fa1e609b9") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Footprint" "kilibimg:Hackclub_logo" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "943054ed-cffe-4abc-b230-d332eabf7007") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "35b3f021-ea8f-4d20-896b-11f54e0e9d21") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "222129d5-ed1d-4f90-8a65-b1c1342305bd") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 3.551504 1.461062) (xy 3.529027 1.438584) (xy 3.506549 1.461062) (xy 3.529027 1.48354) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5a7102aa-49b7-4eaf-b4d0-b8a09a139f76") + ) + (fp_poly + (pts + (xy 3.146903 2.090442) (xy 3.124425 2.067965) (xy 3.101947 2.090442) (xy 3.124425 2.11292) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "1e306c0b-b761-4ba5-aeae-8b85b90e8471") + ) + (fp_poly + (pts + (xy 3.056991 2.180354) (xy 3.034513 2.157876) (xy 3.012035 2.180354) (xy 3.034513 2.202832) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "85bca88c-f43a-4027-bfd7-cb33d2fc4569") + ) + (fp_poly + (pts + (xy 2.96708 2.315221) (xy 2.944602 2.292743) (xy 2.922124 2.315221) (xy 2.944602 2.337699) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "e135a89d-20f9-4a9a-946b-f4822a30d141") + ) + (fp_poly + (pts + (xy 2.832212 2.405133) (xy 2.809735 2.382655) (xy 2.787257 2.405133) (xy 2.809735 2.427611) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "b09b72cf-8902-458d-9738-5ada91c8e756") + ) + (fp_poly + (pts + (xy -0.539469 2.180354) (xy -0.561947 2.157876) (xy -0.584425 2.180354) (xy -0.561947 2.202832) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "6c31f1e8-99da-4ec0-834b-4f0135697d66") + ) + (fp_poly + (pts + (xy -0.62938 2.135398) (xy -0.651858 2.11292) (xy -0.674336 2.135398) (xy -0.651858 2.157876) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "bf26a256-e567-482a-a9a4-a8c1671e143a") + ) + (fp_poly + (pts + (xy -0.764248 2.135398) (xy -0.786726 2.11292) (xy -0.809203 2.135398) (xy -0.786726 2.157876) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "84de99a6-dce0-4f3b-8bb0-54dc360d9fae") + ) + (fp_poly + (pts + (xy -0.809203 0.831681) (xy -0.831681 0.809203) (xy -0.854159 0.831681) (xy -0.831681 0.854159) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "e2b28384-2911-4fb5-9cc7-76a25bd04857") + ) + (fp_poly + (pts + (xy -1.078938 2.135398) (xy -1.101416 2.11292) (xy -1.123894 2.135398) (xy -1.101416 2.157876) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "05725a1f-1d05-479a-984c-14d08a508284") + ) + (fp_poly + (pts + (xy -1.348672 2.22531) (xy -1.37115 2.202832) (xy -1.393628 2.22531) (xy -1.37115 2.247788) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "cce262b2-7ce1-4660-a96b-3e8559d23442") + ) + (fp_poly + (pts + (xy 1.455272 11.477654) (xy 2.360353 11.433802) (xy 3.222909 11.368394) (xy 4.031915 11.282129) + (xy 4.776346 11.175707) (xy 5.445177 11.049828) (xy 5.51996 11.03342) (xy 6.348946 10.815418) (xy 7.104902 10.546593) + (xy 7.790353 10.224863) (xy 8.407825 9.848146) (xy 8.95984 9.414359) (xy 9.448924 8.921421) (xy 9.877601 8.367249) + (xy 10.248397 7.749762) (xy 10.563834 7.066876) (xy 10.671572 6.784656) (xy 10.804318 6.378399) + (xy 10.933426 5.910282) (xy 11.051996 5.408295) (xy 11.153129 4.900429) (xy 11.191438 4.675398) + (xy 11.295182 3.921895) (xy 11.377739 3.098008) (xy 11.439107 2.219849) (xy 11.479287 1.30353) (xy 11.498279 0.365163) + (xy 11.496081 -0.579139) (xy 11.472695 -1.513264) (xy 11.428119 -2.421101) (xy 11.362354 -3.286536) + (xy 11.275399 -4.093459) (xy 11.191545 -4.680066) (xy 11.072071 -5.317722) (xy 10.923529 -5.941288) + (xy 10.752564 -6.525631) (xy 10.566175 -7.044738) (xy 10.278669 -7.68158) (xy 9.94854 -8.260343) + (xy 9.572093 -8.78326) (xy 9.145628 -9.252565) (xy 8.66545 -9.670491) (xy 8.12786 -10.039271) (xy 7.529162 -10.36114) + (xy 6.865659 -10.63833) (xy 6.133652 -10.873075) (xy 5.329445 -11.067609) (xy 4.449341 -11.224164) + (xy 3.489642 -11.344976) (xy 3.439115 -11.350157) (xy 2.782444 -11.406349) (xy 2.05655 -11.44972) + (xy 1.281261 -11.479859) (xy 0.476406 -11.496358) (xy -0.338188 -11.498805) (xy -1.142692 -11.486793) + (xy -1.903775 -11.460526) (xy -2.987014 -11.39241) (xy -3.989669 -11.288672) (xy -4.914552 -11.14729) + (xy -5.764475 -10.966241) (xy -6.542253 -10.743504) (xy -7.250697 -10.477054) (xy -7.892621 -10.164869) + (xy -8.470837 -9.804927) (xy -8.988159 -9.395206) (xy -9.447399 -8.933681) (xy -9.85137 -8.418332) + (xy -10.202885 -7.847135) (xy -10.504758 -7.218068) (xy -10.7598 -6.529108) (xy -10.970826 -5.778232) + (xy -11.140647 -4.963418) (xy -11.168955 -4.798387) (xy -11.280038 -4.020839) (xy -11.368636 -3.172836) + (xy -11.43471 -2.2707) (xy -11.478222 -1.330753) (xy -11.490701 -0.756978) (xy -3.865862 -0.756978) + (xy -3.865743 -1.411613) (xy -3.865303 -2.052319) (xy -3.864538 -2.672989) (xy -3.863444 -3.267515) + (xy -3.862017 -3.829788) (xy -3.860252 -4.3537) (xy -3.858146 -4.833142) (xy -3.855695 -5.262008) + (xy -3.852895 -5.634187) (xy -3.84974 -5.943573) (xy -3.846228 -6.184058) (xy -3.842355 -6.349531) + (xy -3.838115 -6.433887) (xy -3.836224 -6.443658) (xy -3.784721 -6.451984) (xy -3.655963 -6.459421) + (xy -3.462608 -6.465631) (xy -3.21731 -6.470277) (xy -2.932725 -6.47302) (xy -2.713187 -6.473628) + (xy -1.62012 -6.473628) (xy -1.59681 -6.372478) (xy -1.592457 -6.310403) (xy -1.587977 -6.166507) + (xy -1.583471 -5.948879) (xy -1.579039 -5.665608) (xy -1.574782 -5.324785) (xy -1.5708 -4.934499) + (xy -1.567195 -4.502839) (xy -1.564066 -4.037896) (xy -1.561515 -3.547758) (xy -1.561432 -3.529027) + (xy -1.549363 -0.786726) (xy -1.424318 -0.404602) (xy -1.367751 -0.227467) (xy -1.32321 -0.079937) + (xy -1.297526 0.015008) (xy -1.294002 0.033717) (xy -1.262084 0.086098) (xy -1.246459 0.089911) + (xy -1.22324 0.123088) (xy -1.230958 0.15968) (xy -1.235374 0.206855) (xy -1.218001 0.204894) (xy -1.174061 0.21494) + (xy -1.144435 0.276242) (xy -1.144243 0.35015) (xy -1.153172 0.370649) (xy -1.155521 0.398502) (xy -1.139088 0.391515) + (xy -1.093085 0.408388) (xy -1.031289 0.478291) (xy -0.973271 0.571587) (xy -0.938596 0.658639) + (xy -0.937508 0.696814) (xy -0.929271 0.717267) (xy -0.917748 0.707955) (xy -0.86538 0.707154) (xy -0.798214 0.753093) + (xy -0.7492 0.816327) (xy -0.746172 0.861281) (xy -0.744368 0.890794) (xy -0.732984 0.88899) (xy -0.678954 0.908876) + (xy -0.591349 0.973622) (xy -0.564112 0.99786) (xy -0.47304 1.069325) (xy -0.407006 1.098425) (xy -0.396416 1.096357) + (xy -0.36276 1.108527) (xy -0.359646 1.128733) (xy -0.350828 1.146372) (xy 0.899115 1.146372) (xy 0.921593 1.123894) + (xy 0.944071 1.146372) (xy 0.921593 1.168849) (xy 0.899115 1.146372) (xy -0.350828 1.146372) (xy -0.342909 1.162214) + (xy -0.331257 1.155446) (xy -0.275124 1.152576) (xy -0.207629 1.179508) (xy -0.116581 1.22398) (xy -0.023228 1.251599) + (xy 0.101919 1.268432) (xy 0.281719 1.280202) (xy 0.514787 1.276562) (xy 0.73233 1.244901) (xy 0.907438 1.190531) + (xy 0.992675 1.139842) (xy 1.063517 1.104495) (xy 1.097572 1.112557) (xy 1.119213 1.109163) (xy 1.116401 1.078938) + (xy 1.132697 1.026916) (xy 1.160952 1.023857) (xy 1.198366 1.010339) (xy 1.192016 0.99014) (xy 1.19179 0.947794) + (xy 1.206271 0.944071) (xy 1.247664 0.90638) (xy 1.30345 0.810947) (xy 1.330048 0.753009) (xy 1.369534 0.659522) + (xy 1.403845 0.573546) (xy 1.433397 0.488276) (xy 1.458606 0.396912) (xy 1.479888 0.292651) (xy 1.497659 0.16869) + (xy 1.512334 0.018229) (xy 1.524331 -0.165536) (xy 1.534065 -0.389407) (xy 1.541951 -0.660186) (xy 1.548407 -0.984674) + (xy 1.553847 -1.369675) (xy 1.558689 -1.82199) (xy 1.563347 -2.348421) (xy 1.568238 -2.955771) (xy 1.569929 -3.169381) + (xy 1.595929 -6.451151) (xy 2.732856 -6.463165) (xy 3.869782 -6.47518) (xy 3.854861 -2.934139) (xy 3.852063 -2.375335) + (xy 3.848526 -1.840851) (xy 3.84435 -1.337758) (xy 3.839633 -0.873128) (xy 3.834473 -0.454032) (xy 3.828969 -0.087542) + (xy 3.823221 0.219271) (xy 3.817326 0.459335) (xy 3.811383 0.62558) (xy 3.805491 0.710932) (xy 3.803889 0.719292) + (xy 3.776281 0.836215) (xy 3.769201 0.909469) (xy 3.749999 0.989721) (xy 3.73043 1.012059) (xy 3.707644 1.069143) + (xy 3.713878 1.098308) (xy 3.707517 1.170674) (xy 3.688703 1.189886) (xy 3.65966 1.243669) (xy 3.665814 1.261867) + (xy 3.657984 1.300807) (xy 3.641416 1.303717) (xy 3.612095 1.3311) (xy 3.618929 1.348658) (xy 3.613363 1.408776) + (xy 3.572125 1.517885) (xy 3.508624 1.650369) (xy 3.436269 1.780616) (xy 3.368469 1.88301) (xy 3.318633 1.931939) + (xy 3.31297 1.933097) (xy 3.287776 1.951622) (xy 3.294096 1.960408) (xy 3.284436 2.008166) (xy 3.220799 2.09407) + (xy 3.120113 2.200981) (xy 2.999308 2.31176) (xy 2.87531 2.409268) (xy 2.806142 2.454437) (xy 2.440842 2.621217) + (xy 2.021864 2.729919) (xy 1.564893 2.779674) (xy 1.085613 2.769615) (xy 0.599708 2.698874) (xy 0.215888 2.597731) + (xy 0.07187 2.544852) (xy -0.026268 2.49559) (xy -0.059126 2.45987) (xy -0.058139 2.457528) (xy -0.060879 2.43599) + (xy -0.087239 2.448437) (xy -0.160707 2.446906) (xy -0.267804 2.390936) (xy -0.280425 2.381859) + (xy -0.357317 2.332615) (xy -0.385595 2.330765) (xy -0.380813 2.342848) (xy -0.377989 2.367275) + (xy -0.430897 2.329375) (xy -0.431255 2.329063) (xy -0.530383 2.270905) (xy -0.669459 2.22019) (xy -0.709739 2.209983) + (xy -0.838981 2.181109) (xy -0.915172 2.169289) (xy -0.973406 2.173844) (xy -1.048778 2.194095) + (xy -1.078974 2.202842) (xy -1.170243 2.221885) (xy -1.189894 2.203192) (xy -1.183107 2.189531) + (xy -1.191522 2.179032) (xy -1.252522 2.217723) (xy -1.277435 2.236764) (xy -1.374244 2.295469) + (xy -1.447588 2.310294) (xy -1.455107 2.307401) (xy -1.484133 2.299621) (xy -1.476127 2.31168) (xy -1.472204 2.371047) + (xy -1.500859 2.474636) (xy -1.509844 2.497267) (xy -1.525145 2.548662) (xy -1.537916 2.628159) + (xy -1.548365 2.743174) (xy -1.556702 2.901123) (xy -1.563137 3.109422) (xy -1.567878 3.375488) + (xy -1.571135 3.706737) (xy -1.573117 4.110585) (xy -1.574034 4.594449) (xy -1.57414 4.775105) (xy -1.575042 5.205118) + (xy -1.577361 5.608441) (xy -1.580937 5.975996) (xy -1.585615 6.298707) (xy -1.591237 6.567497) + (xy -1.597647 6.773289) (xy -1.604686 6.907005) (xy -1.61193 6.959201) (xy -1.640239 6.975425) (xy -1.704333 6.980655) + (xy -1.813626 6.973828) (xy -1.977529 6.953883) (xy -2.205455 6.919759) (xy -2.506816 6.870395) + (xy -2.718888 6.834375) (xy -3.018999 6.782261) (xy -3.289806 6.733922) (xy -3.518638 6.691724) + (xy -3.692819 6.658039) (xy -3.799677 6.635236) (xy -3.82747 6.627123) (xy -3.831928 6.580352) (xy -3.836131 6.449778) + (xy -3.840074 6.241509) (xy -3.843754 5.961654) (xy -3.847166 5.61632) (xy -3.850306 5.211616) (xy -3.853171 4.753651) + (xy -3.855755 4.248531) (xy -3.858056 3.702366) (xy -3.860068 3.121263) (xy -3.861788 2.511332) + (xy -3.863212 1.878679) (xy -3.864335 1.229413) (xy -3.865154 0.569643) (xy -3.865664 -0.094523) + (xy -3.865862 -0.756978) (xy -11.490701 -0.756978) (xy -11.499133 -0.369319) (xy -11.497404 0.597281) + (xy -11.472995 1.552724) (xy -11.425869 2.480688) (xy -11.355985 3.36485) (xy -11.263306 4.188888) + (xy -11.191544 4.680066) (xy -11.016844 5.577506) (xy -10.793889 6.400319) (xy -10.521367 7.150561) + (xy -10.197967 7.830286) (xy -9.822379 8.441552) (xy -9.393291 8.986413) (xy -8.909391 9.466927) + (xy -8.369368 9.885148) (xy -7.771912 10.243132) (xy -7.582573 10.338464) (xy -7.091 10.556216) + (xy -6.568359 10.747939) (xy -6.007494 10.914946) (xy -5.401247 11.058552) (xy -4.742462 11.180072) + (xy -4.023981 11.280818) (xy -3.238647 11.362106) (xy -2.379303 11.425249) (xy -1.438792 11.471563) + (xy -1.404867 11.472874) (xy -0.438363 11.49789) (xy 0.518691 11.49925) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "871f45f4-d136-4d26-9e18-8ba95410988e") + ) + ) + (footprint "kilibimg:HackclubFlag" + (layer "B.Cu") + (uuid "7accf54d-7a9f-4239-9742-c3fea499c6e6") + (at 84.75 72.75 180) + (property "Reference" "G***" + (at 0 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "375e1fe1-78b2-4e51-b9e0-c36aaf281bef") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Value" "LOGO" + (at 0.75 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "03ed283b-903d-48ef-9df0-0d4b38008802") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + (justify mirror) + ) + ) + (property "Footprint" "kilibimg:HackclubFlag" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "349ab2a9-9b89-4be5-9e4d-31894a60f1d9") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "cefd8311-192f-4d2d-b06a-e7b02f368c92") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "092af4f1-f658-42e5-a84c-3f94dbc36073") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 10.701228 2.922454) (xy 10.737888 2.864463) (xy 10.740022 2.857963) (xy 10.749045 2.713637) + (xy 10.670672 2.586913) (xy 10.542663 2.490228) (xy 10.429483 2.424162) (xy 10.35741 2.389242) (xy 10.350019 2.3876) + (xy 10.317015 2.430162) (xy 10.264525 2.537649) (xy 10.239106 2.598351) (xy 10.190717 2.751389) + (xy 10.204709 2.84607) (xy 10.293955 2.900231) (xy 10.471327 2.931707) (xy 10.480452 2.932749) (xy 10.625478 2.942317) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "e7d79a5e-e760-439a-8eaf-eb5b5ce22724") + ) + (fp_poly + (pts + (xy 10.934087 2.204616) (xy 11.024356 2.132183) (xy 11.024626 2.131682) (xy 11.054898 1.978258) + (xy 10.999439 1.831522) (xy 10.954236 1.783674) (xy 10.850929 1.718167) (xy 10.719591 1.659118) + (xy 10.594502 1.618874) (xy 10.509942 1.609782) (xy 10.494773 1.6182) (xy 10.471095 1.691228) (xy 10.443066 1.823668) + (xy 10.433527 1.879476) (xy 10.418968 2.028333) (xy 10.441403 2.115855) (xy 10.49218 2.166731) (xy 10.628752 2.224473) + (xy 10.789136 2.236241) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "3f13e93b-d801-4c35-a604-75271f136e57") + ) + (fp_poly + (pts + (xy 0.116573 3.282694) (xy 0.141341 3.172781) (xy 0.143915 3.1496) (xy 0.148012 3.004702) (xy 0.139345 2.833582) + (xy 0.12135 2.665078) (xy 0.097462 2.528023) (xy 0.071117 2.451254) (xy 0.059844 2.443966) (xy -0.007131 2.456393) + (xy -0.135395 2.48116) (xy -0.197516 2.493315) (xy -0.337843 2.525133) (xy -0.430396 2.554038) (xy -0.44618 2.562846) + (xy -0.431646 2.61315) (xy -0.370702 2.720989) (xy -0.279143 2.86357) (xy -0.172766 3.0181) (xy -0.067366 3.161785) + (xy 0.021261 3.27183) (xy 0.077317 3.325442) (xy 0.083659 3.3274) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "ef063723-61a9-434d-942d-af1e6259dad6") + ) + (fp_poly + (pts + (xy -1.986258 5.599233) (xy -1.905 5.591163) (xy -1.308604 5.511665) (xy -0.717437 5.398815) (xy -0.117005 5.248131) + (xy 0.507185 5.055128) (xy 1.169626 4.815325) (xy 1.884813 4.524238) (xy 2.667238 4.177384) (xy 2.670716 4.175789) + (xy 3.530557 3.820343) (xy 4.355979 3.558401) (xy 4.8006 3.452946) (xy 5.741301 3.308689) (xy 6.70996 3.258529) + (xy 7.699295 3.301267) (xy 8.702028 3.435705) (xy 9.710879 3.660647) (xy 10.718569 3.974894) (xy 11.717819 4.377247) + (xy 12.391779 4.702112) (xy 12.620658 4.817821) (xy 12.815749 4.912214) (xy 12.960252 4.977489) + (xy 13.037368 5.005839) (xy 13.045244 5.005689) (xy 13.029901 4.955949) (xy 12.974045 4.8308) (xy 12.88461 4.644674) + (xy 12.76853 4.412003) (xy 12.633073 4.14786) (xy 12.497702 3.882594) (xy 12.382851 3.648734) (xy 12.29532 3.460872) + (xy 12.24191 3.333602) (xy 12.229375 3.281558) (xy 12.28739 3.272071) (xy 12.430735 3.263795) (xy 12.643139 3.257228) + (xy 12.908334 3.252865) (xy 13.210053 3.251204) (xy 13.22434 3.2512) (xy 14.188946 3.2512) (xy 14.092173 3.142692) + (xy 13.990953 3.042934) (xy 13.822977 2.89292) (xy 13.602251 2.704038) (xy 13.342781 2.487677) (xy 13.058571 2.255226) + (xy 12.76363 2.018073) (xy 12.471961 1.787606) (xy 12.197571 1.575215) (xy 11.954467 1.392287) (xy 11.815562 1.291523) + (xy 11.017211 0.745506) (xy 10.267763 0.277474) (xy 9.557482 -0.117556) (xy 8.87663 -0.444568) (xy 8.215473 -0.708545) + (xy 7.564272 -0.914471) (xy 7.4422 -0.9471) (xy 7.249145 -0.995938) (xy 7.085183 -1.032299) (xy 6.930077 -1.057932) + (xy 6.763588 -1.074583) (xy 6.565476 -1.083999) (xy 6.315504 -1.087927) (xy 5.993433 -1.088115) + (xy 5.8166 -1.087432) (xy 5.20971 -1.076665) (xy 4.631847 -1.048256) (xy 4.068523 -0.999645) (xy 3.505252 -0.928273) + (xy 2.927544 -0.831583) (xy 2.320913 -0.707014) (xy 1.670871 -0.552007) (xy 0.962931 -0.364004) + (xy 0.182605 -0.140445) (xy -0.213355 -0.022339) (xy -1.009182 0.213573) (xy -1.719723 0.415281) + (xy -2.239377 0.554134) (xy 2.281078 0.554134) (xy 2.286124 0.456357) (xy 2.347617 0.411812) (xy 2.401743 0.4064) + (xy 2.508812 0.447261) (xy 2.642299 0.554118) (xy 2.780692 0.703379) (xy 2.90248 0.871457) (xy 2.986153 1.03476) + (xy 2.993376 1.055217) (xy 3.052707 1.196699) (xy 3.11949 1.260007) (xy 3.17744 1.27) (xy 3.228888 1.261986) + (xy 3.268324 1.226414) (xy 3.302634 1.14599) (xy 3.338702 1.00342) (xy 3.383412 0.78141) (xy 3.393969 0.726099) + (xy 3.45461 0.440455) (xy 3.511176 0.247274) (xy 3.56651 0.137654) (xy 3.589178 0.115031) (xy 3.717883 0.062966) + (xy 3.830462 0.109768) (xy 3.909326 0.214851) (xy 3.948062 0.298148) (xy 3.959883 0.383793) (xy 3.943983 0.50296) + (xy 3.899559 0.686819) (xy 3.896882 0.697092) (xy 3.835321 0.907037) (xy 3.765292 1.107892) (xy 3.75692 1.127715) + (xy 5.416253 1.127715) (xy 5.435455 0.839994) (xy 5.43851 0.819984) (xy 5.497936 0.538964) (xy 5.579704 0.331989) + (xy 5.695786 0.172036) (xy 5.748273 0.120766) (xy 5.878371 0.01902) (xy 6.00335 -0.028371) (xy 6.167083 -0.034595) + (xy 6.246723 -0.029067) (xy 6.432746 0.008891) (xy 6.564155 0.097132) (xy 6.589791 0.12503) (xy 6.66551 0.235317) + (xy 6.669071 0.322852) (xy 6.654041 0.357018) (xy 6.59678 0.422522) (xy 6.508836 0.417442) (xy 6.472766 0.404791) + (xy 6.328571 0.366405) (xy 6.228548 0.3556) (xy 6.085632 0.403041) (xy 5.953975 0.531927) (xy 5.844969 0.722102) + (xy 5.770006 0.953413) (xy 5.740479 1.205702) (xy 5.7404 1.2192) (xy 5.765124 1.48487) (xy 5.834227 1.687726) + (xy 5.940101 1.818232) (xy 6.07514 1.866855) (xy 6.225626 1.827395) (xy 6.361912 1.782859) (xy 6.444639 1.809883) + (xy 6.468337 1.890528) (xy 6.427539 2.006857) (xy 6.354841 2.095501) (xy 6.949761 2.095501) (xy 6.953207 1.943563) + (xy 6.970386 1.729532) (xy 7.001482 1.439548) (xy 7.035426 1.152473) (xy 7.073885 0.827448) (xy 7.103754 0.58977) + (xy 7.132014 0.426386) (xy 7.165646 0.324243) (xy 7.211632 0.270288) (xy 7.276951 0.251469) (xy 7.368586 0.254733) + (xy 7.493518 0.267027) (xy 7.508333 0.268269) (xy 7.738549 0.297006) (xy 7.976041 0.342298) (xy 8.117951 0.379261) + (xy 8.297221 0.451687) (xy 8.4075 0.531821) (xy 8.436456 0.608816) (xy 8.416973 0.64236) (xy 8.326722 0.669413) + (xy 8.151909 0.66226) (xy 7.903451 0.621437) (xy 7.877354 0.616025) (xy 7.690103 0.580458) (xy 7.563939 0.57702) + (xy 7.485114 0.620094) (xy 7.439883 0.724064) (xy 7.414497 0.903314) (xy 7.398838 1.116733) (xy 7.35965 1.552443) + (xy 7.30536 1.899839) (xy 7.236836 2.154351) (xy 7.178132 2.27917) (xy 7.119055 2.357429) (xy 8.270037 2.357429) + (xy 8.287639 2.210751) (xy 8.333987 2.003277) (xy 8.411224 1.720429) (xy 8.451234 1.582967) (xy 8.555025 1.285634) + (xy 8.671353 1.071881) (xy 8.812603 0.923688) (xy 8.9662 0.833712) (xy 9.171528 0.770843) (xy 9.340051 0.788852) + (xy 9.437786 0.841284) (xy 9.589611 1.009315) (xy 9.677511 1.255171) (xy 9.7013 1.57756) (xy 9.660791 1.975188) + (xy 9.626874 2.153451) (xy 9.551082 2.43982) (xy 9.464463 2.629486) (xy 9.364454 2.727009) (xy 9.295228 2.7432) + (xy 9.223913 2.721031) (xy 9.196836 2.636917) (xy 9.1948 2.577765) (xy 9.209913 2.408304) (xy 9.245998 2.234865) + (xy 9.274651 2.097119) (xy 9.302825 1.898086) (xy 9.324943 1.677953) (xy 9.327001 1.651) (xy 9.335605 1.383324) + (xy 9.312428 1.20417) (xy 9.253293 1.104283) (xy 9.154027 1.074409) (xy 9.072356 1.086518) (xy 8.985911 1.126179) + (xy 8.91231 1.206748) (xy 8.845108 1.342139) (xy 8.777862 1.546269) (xy 8.704129 1.833054) (xy 8.68112 1.931465) + (xy 8.623617 2.148358) (xy 8.559709 2.338159) (xy 8.500052 2.47078) (xy 8.479085 2.50189) (xy 8.406479 2.577422) + (xy 8.358837 2.578048) (xy 8.312502 2.526711) (xy 8.27904 2.45789) (xy 8.270037 2.357429) (xy 7.119055 2.357429) + (xy 7.117492 2.3595) (xy 7.067388 2.359246) (xy 7.019985 2.317357) (xy 6.983334 2.268539) (xy 6.959864 2.199207) + (xy 6.949761 2.095501) (xy 6.354841 2.095501) (xy 6.320228 2.137707) (xy 6.156536 2.229315) (xy 5.970272 2.237596) + (xy 5.785919 2.169271) (xy 5.627959 2.031059) (xy 5.562204 1.929636) (xy 5.483855 1.710466) (xy 5.433796 1.430516) + (xy 5.416253 1.127715) (xy 3.75692 1.127715) (xy 3.710791 1.236938) (xy 3.614562 1.432475) (xy 3.915481 1.790867) + (xy 4.088559 2.01611) (xy 4.190104 2.192453) (xy 4.218465 2.315053) (xy 4.171994 2.379069) (xy 4.118697 2.3876) + (xy 4.025592 2.361619) (xy 3.892814 2.279301) (xy 3.711349 2.134087) (xy 3.472183 1.919417) (xy 3.460644 1.908693) + (xy 3.339204 1.798528) (xy 3.276214 1.753351) (xy 3.257351 1.767252) (xy 3.267033 1.8288) (xy 3.326196 2.176482) + (xy 3.338043 2.475952) (xy 3.303838 2.715172) (xy 3.228604 2.874162) (xy 9.90613 2.874162) (xy 9.919821 2.750271) + (xy 9.965081 2.554281) (xy 10.040636 2.286) (xy 10.113984 2.036519) (xy 10.181603 1.804069) (xy 10.235409 1.616587) + (xy 10.264827 1.5113) (xy 10.316549 1.386099) (xy 10.396761 1.327789) (xy 10.522438 1.333518) (xy 10.710557 1.400434) + (xy 10.7696 1.426511) (xy 11.035812 1.576955) (xy 11.203426 1.74351) (xy 11.27449 1.928401) (xy 11.27741 1.978005) + (xy 11.252255 2.138373) (xy 11.188425 2.296991) (xy 11.1029 2.423294) (xy 11.012658 2.486719) (xy 10.993439 2.4892) + (xy 10.939256 2.508634) (xy 10.940117 2.58425) (xy 10.952027 2.6289) (xy 10.995138 2.877667) (xy 10.967125 3.072558) + (xy 10.872284 3.204439) (xy 10.714913 3.264177) (xy 10.652116 3.266566) (xy 10.507664 3.238949) + (xy 10.324126 3.173597) (xy 10.138529 3.087186) (xy 9.987898 2.996392) (xy 9.921875 2.937663) (xy 9.90613 2.874162) + (xy 3.228604 2.874162) (xy 3.224846 2.882103) (xy 3.14965 2.946374) (xy 3.047596 2.974894) (xy 2.968988 2.931977) + (xy 2.909676 2.809803) (xy 2.86551 2.60055) (xy 2.837674 2.358359) (xy 2.795254 1.988992) (xy 2.735666 1.683006) + (xy 2.648279 1.402394) (xy 2.522458 1.109147) (xy 2.443361 0.948952) (xy 2.333237 0.715034) (xy 2.281078 0.554134) + (xy -2.239377 0.554134) (xy -2.354574 0.584915) (xy -2.923331 0.724602) (xy -3.43559 0.836469) (xy -3.900948 0.922644) + (xy -4.328999 0.985255) (xy -4.729341 1.026428) (xy -5.027316 1.044976) (xy -5.34878 1.057157) (xy -5.585835 1.056544) + (xy -5.755476 1.036611) (xy -5.874699 0.990828) (xy -5.960501 0.912668) (xy -6.029879 0.795601) + (xy -6.099829 0.633099) (xy -6.09987 0.632996) (xy -6.191434 0.390911) (xy -6.236073 0.223812) (xy -6.234414 0.116898) + (xy -6.187083 0.055369) (xy -6.125316 0.030922) (xy -5.926034 -0.06602) (xy -5.744042 -0.237873) + (xy -5.59835 -0.457961) (xy -5.507969 -0.699611) (xy -5.487799 -0.8636) (xy -5.51896 -1.126681) + (xy -5.606136 -1.373493) (xy -5.736155 -1.584562) (xy -5.895842 -1.740418) (xy -6.072023 -1.821588) + (xy -6.140824 -1.8288) (xy -6.250477 -1.834361) (xy -6.299064 -1.847827) (xy -6.2992 -1.848652) + (xy -6.280052 -1.902473) (xy -6.231387 -2.016869) (xy -6.198716 -2.089952) (xy -6.109404 -2.399732) + (xy -6.114515 -2.710077) (xy -6.210823 -3.002227) (xy -6.395102 -3.257416) (xy -6.400402 -3.262754) + (xy -6.528965 -3.371997) (xy -6.647766 -3.441395) (xy -6.699624 -3.4544) (xy -6.790404 -3.480172) + (xy -6.798834 -3.539133) (xy -6.751111 -3.584669) (xy -6.680253 -3.668679) (xy -6.606615 -3.816443) + (xy -6.544449 -3.991554) (xy -6.508008 -4.157604) (xy -6.503799 -4.2164) (xy -6.547817 -4.547848) + (xy -6.680481 -4.8361) (xy -6.806802 -4.989954) (xy -6.94041 -5.108858) (xy -7.056529 -5.165987) + (xy -7.197686 -5.181545) (xy -7.210147 -5.1816) (xy -7.474064 -5.145109) (xy -7.669993 -5.039178) + (xy -7.791802 -4.869114) (xy -7.833361 -4.642047) (xy -7.838226 -4.526909) (xy -7.850135 -4.471324) + (xy -7.852078 -4.4704) (xy -7.889369 -4.507955) (xy -7.968683 -4.60619) (xy -8.06784 -4.737128) + (xy -8.342739 -5.07066) (xy -8.631148 -5.350834) (xy -8.91326 -5.559129) (xy -8.998514 -5.607342) + (xy -9.123861 -5.667615) (xy -9.23815 -5.705841) (xy -9.369511 -5.726423) (xy -9.546075 -5.733767) + (xy -9.795973 -5.732276) (xy -9.796389 -5.73227) (xy -10.041642 -5.727029) (xy -10.267528 -5.71944) + (xy -10.443041 -5.710683) (xy -10.5156 -5.704904) (xy -11.16067 -5.611966) (xy -11.810928 -5.475045) + (xy -12.443389 -5.30094) (xy -13.035069 -5.09645) (xy -13.562982 -4.868374) (xy -13.844619 -4.720146) + (xy -14.02805 -4.61297) (xy -14.140188 -4.536163) (xy -14.198548 -4.47074) (xy -14.220644 -4.397716) + (xy -14.224 -4.30894) (xy -14.218142 -4.18502) (xy -14.203586 -4.118436) (xy -14.198718 -4.1148) + (xy -14.147005 -4.141933) (xy -14.039123 -4.212189) (xy -13.932018 -4.286637) (xy -13.478543 -4.560592) + (xy -12.932211 -4.804217) (xy -12.297559 -5.016117) (xy -11.579124 -5.194896) (xy -10.781443 -5.339158) + (xy -10.296634 -5.404975) (xy -10.052268 -5.434496) (xy -10.161155 -5.281578) (xy -10.240234 -5.147418) + (xy -10.32772 -4.965127) (xy -10.380121 -4.83763) (xy -10.420233 -4.722068) (xy -10.449223 -4.607213) + (xy -10.468782 -4.474098) (xy -10.480599 -4.303757) (xy -10.486362 -4.077225) (xy -10.487193 -3.898122) + (xy -10.172075 -3.898122) (xy -10.128716 -4.34777) (xy -10.034378 -4.728297) (xy -9.88893 -5.033274) + (xy -9.717555 -5.234932) (xy -9.587664 -5.335426) (xy -9.478057 -5.375109) (xy -9.34294 -5.370347) + (xy -9.339789 -5.369928) (xy -9.18097 -5.323007) (xy -9.003801 -5.23447) (xy -8.932267 -5.187079) + (xy -8.8209 -5.092713) (xy -8.683052 -4.958493) (xy -8.537228 -4.804852) (xy -8.401929 -4.652223) + (xy -8.29566 -4.521039) (xy -8.236924 -4.431732) (xy -8.23089 -4.412553) (xy -8.274547 -4.383889) + (xy -8.384526 -4.353225) (xy -8.4201 -4.346345) (xy -8.610101 -4.308967) (xy -8.720605 -4.272109) + (xy -8.772814 -4.222771) (xy -8.787929 -4.147954) (xy -8.7884 -4.12052) (xy -8.748359 -3.980555) + (xy -8.74139 -3.96965) (xy -8.290541 -3.96965) (xy -8.251838 -4.003292) (xy -8.139272 -4.036246) + (xy -8.020975 -4.061014) (xy -7.755125 -4.136614) (xy -7.582099 -4.243313) (xy -7.49647 -4.386329) + (xy -7.492537 -4.569255) (xy -7.505241 -4.708218) (xy -7.476611 -4.786124) (xy -7.43319 -4.819866) + (xy -7.26242 -4.872453) (xy -7.103206 -4.82431) (xy -6.991538 -4.722904) (xy -6.863192 -4.505705) + (xy -6.819812 -4.279241) (xy -6.851348 -4.060219) (xy -6.947752 -3.865344) (xy -7.098976 -3.711322) + (xy -7.294972 -3.614861) (xy -7.525691 -3.592665) (xy -7.657126 -3.616313) (xy -7.863854 -3.687378) + (xy -8.060795 -3.778961) (xy -8.21397 -3.87391) (xy -8.271731 -3.926553) (xy -8.290541 -3.96965) + (xy -8.74139 -3.96965) (xy -8.642778 -3.815333) (xy -8.493475 -3.651478) (xy -8.322264 -3.515613) + (xy -8.264789 -3.481518) (xy -8.154526 -3.405099) (xy -8.068063 -3.295868) (xy -8.043289 -3.245033) + (xy -7.609249 -3.245033) (xy -7.592244 -3.234084) (xy -7.546603 -3.17799) (xy -7.486259 -3.070683) + (xy -7.471611 -3.00019) (xy -7.479745 -2.948377) (xy -7.503108 -2.995134) (xy -7.503876 -2.9972) + (xy -7.548635 -3.106851) (xy -7.578867 -3.175) (xy -7.609249 -3.245033) (xy -8.043289 -3.245033) + (xy -7.985057 -3.125542) (xy -7.95463 -3.050275) (xy -7.889664 -2.880584) (xy -7.842684 -2.750045) + (xy -7.823279 -2.685375) (xy -7.8232 -2.684095) (xy -7.867736 -2.656217) (xy -7.978271 -2.625968) + (xy -8.0137 -2.619145) (xy -8.203361 -2.581987) (xy -8.313674 -2.545178) (xy -8.365913 -2.495003) + (xy -8.381353 -2.41775) (xy -8.382 -2.381757) (xy -8.340474 -2.24245) (xy -7.884141 -2.24245) (xy -7.845438 -2.276092) + (xy -7.732872 -2.309046) (xy -7.614575 -2.333814) (xy -7.348725 -2.409414) (xy -7.175699 -2.516113) + (xy -7.09007 -2.659129) (xy -7.086137 -2.842055) (xy -7.098841 -2.981018) (xy -7.070211 -3.058924) + (xy -7.02679 -3.092666) (xy -6.85602 -3.145253) (xy -6.696806 -3.09711) (xy -6.585138 -2.995704) + (xy -6.456792 -2.778505) (xy -6.413412 -2.552041) (xy -6.444948 -2.333019) (xy -6.541352 -2.138144) + (xy -6.692576 -1.984122) (xy -6.888572 -1.887661) (xy -7.119291 -1.865465) (xy -7.250726 -1.889113) + (xy -7.457454 -1.960178) (xy -7.654395 -2.051761) (xy -7.80757 -2.14671) (xy -7.865331 -2.199353) + (xy -7.884141 -2.24245) (xy -8.340474 -2.24245) (xy -8.338885 -2.237121) (xy -8.22332 -2.071903) + (xy -8.055976 -1.906158) (xy -7.857523 -1.759936) (xy -7.648633 -1.653292) (xy -7.620335 -1.642872) + (xy -7.456339 -1.576494) (xy -7.356281 -1.502155) (xy -7.336073 -1.470201) (xy -6.9088 -1.470201) + (xy -6.871924 -1.521932) (xy -6.858 -1.524) (xy -6.808521 -1.506667) (xy -6.8072 -1.501598) (xy -6.842798 -1.458226) + (xy -6.858 -1.4478) (xy -6.904812 -1.451827) (xy -6.9088 -1.470201) (xy -7.336073 -1.470201) (xy -7.285005 -1.389449) + (xy -7.257744 -1.329288) (xy -7.201383 -1.1906) (xy -7.167359 -1.091396) (xy -7.1628 -1.068356) + (xy -7.208068 -1.037559) (xy -7.322986 -1.005651) (xy -7.397895 -0.992281) (xy -7.590382 -0.958996) + (xy -7.702826 -0.922044) (xy -7.756315 -0.868015) (xy -7.771938 -0.783499) (xy -7.7724 -0.754145) + (xy -7.731689 -0.61685) (xy -7.274541 -0.61685) (xy -7.235838 -0.650492) (xy -7.123272 -0.683446) + (xy -7.004975 -0.708214) (xy -6.739125 -0.783814) (xy -6.566099 -0.890513) (xy -6.48047 -1.033529) + (xy -6.476537 -1.216455) (xy -6.489241 -1.355418) (xy -6.460611 -1.433324) (xy -6.41719 -1.467066) + (xy -6.24642 -1.519653) (xy -6.087206 -1.47151) (xy -5.975538 -1.370104) (xy -5.847192 -1.152905) + (xy -5.803812 -0.926441) (xy -5.835348 -0.707419) (xy -5.931752 -0.512544) (xy -6.082976 -0.358522) + (xy -6.278972 -0.262061) (xy -6.509691 -0.239865) (xy -6.641126 -0.263513) (xy -6.847854 -0.334578) + (xy -7.044795 -0.426161) (xy -7.19797 -0.52111) (xy -7.255731 -0.573753) (xy -7.274541 -0.61685) + (xy -7.731689 -0.61685) (xy -7.728256 -0.605274) (xy -7.608733 -0.437959) (xy -7.4332 -0.270442) + (xy -7.221024 -0.120965) (xy -6.991574 -0.007768) (xy -6.972284 -0.000546) (xy -6.770751 0.087444) + (xy -6.66044 0.182231) (xy -6.631838 0.303848) (xy -6.675433 0.472328) (xy -6.70923 0.553438) (xy -6.903328 0.889012) + (xy -7.149564 1.141177) (xy -7.442934 1.306356) (xy -7.778435 1.380972) (xy -7.87237 1.3851) (xy -8.159292 1.347818) + (xy -8.428098 1.228301) (xy -8.681252 1.023432) (xy -8.921216 0.730092) (xy -9.150456 0.345164) + (xy -9.371432 -0.134471) (xy -9.58661 -0.711931) (xy -9.628717 -0.838066) (xy -9.838309 -1.53689) + (xy -9.997571 -2.198737) (xy -10.106372 -2.817177) (xy -10.164583 -3.385782) (xy -10.172075 -3.898122) + (xy -10.487193 -3.898122) (xy -10.487762 -3.775536) (xy -10.487486 -3.6322) (xy -10.484729 -3.280489) + (xy -10.477158 -3.003557) (xy -10.462281 -2.774606) (xy -10.437606 -2.566842) (xy -10.40064 -2.353467) + (xy -10.34889 -2.107686) (xy -10.343364 -2.0828) (xy -10.236067 -1.645369) (xy -10.104439 -1.178926) + (xy -9.957703 -0.712061) (xy -9.805083 -0.273362) (xy -9.655799 0.108582) (xy -9.58302 0.274258) + (xy -9.426762 0.578539) (xy -9.24649 0.87482) (xy -9.058692 1.139216) (xy -8.879857 1.347843) (xy -8.777387 1.441327) + (xy -8.679522 1.52937) (xy -8.664686 1.579114) (xy -8.68835 1.593509) (xy -8.763995 1.617872) (xy -8.914422 1.666295) + (xy -9.117696 1.731718) (xy -9.3472 1.805574) (xy -10.46556 2.202987) (xy -11.552452 2.663407) (xy -12.588118 3.177561) + (xy -13.552796 3.736175) (xy -13.8049 3.897592) (xy -13.996665 4.02495) (xy -14.118697 4.115306) + (xy -14.186742 4.186578) (xy -14.216542 4.256685) (xy -14.223844 4.343546) (xy -14.224 4.374575) + (xy -14.224 4.577134) (xy -13.9065 4.351627) (xy -13.330712 3.971158) (xy -12.676277 3.588725) (xy -11.965309 3.215418) + (xy -11.219919 2.862321) (xy -10.462221 2.540521) (xy -9.788603 2.287021) (xy -9.382832 2.14958) + (xy -8.973759 2.020624) (xy -8.582785 1.90626) (xy -8.231312 1.8126) (xy -7.94074 1.74575) (xy -7.807569 1.721519) + (xy -7.371266 1.613509) (xy -7.008474 1.435612) (xy -6.718662 1.187517) (xy -6.571754 0.992571) + (xy -6.486453 0.864415) (xy -6.422494 0.780821) (xy -6.4008 0.7623) (xy -6.377359 0.807976) (xy -6.320098 0.938809) + (xy -6.232823 1.145579) (xy -6.119338 1.419066) (xy -6.054066 1.578046) (xy -1.273268 1.578046) + (xy -1.222102 1.492157) (xy -1.147124 1.4732) (xy -1.076872 1.509445) (xy -0.972323 1.599772) (xy -0.859585 1.716569) + (xy -0.764767 1.832228) (xy -0.713978 1.919137) (xy -0.7112 1.934202) (xy -0.663599 1.963556) (xy -0.520159 1.963388) + (xy -0.4191 1.953096) (xy -0.260204 1.932597) (xy -0.149541 1.915985) (xy -0.115696 1.908502) (xy -0.124526 1.859598) + (xy -0.158417 1.739644) (xy -0.210189 1.57394) (xy -0.213789 1.562844) (xy -0.270136 1.375907) (xy -0.29145 1.257954) + (xy -0.280363 1.183609) (xy -0.256973 1.146582) (xy -0.166407 1.076803) (xy -0.065044 1.090313) + (xy 0.065072 1.187937) (xy 0.154465 1.29953) (xy 0.232672 1.464098) (xy 0.249521 1.518748) (xy 0.848664 1.518748) + (xy 0.903909 1.189306) (xy 0.951749 1.058445) (xy 1.032798 0.905088) (xy 1.130882 0.796249) (xy 1.271051 0.713762) + (xy 1.478354 0.639459) (xy 1.564622 0.613967) (xy 1.615207 0.631669) (xy 1.716985 0.680531) (xy 1.723566 0.683922) + (xy 1.855374 0.783817) (xy 1.913251 0.893906) (xy 1.897439 0.991747) (xy 1.808178 1.054898) (xy 1.719455 1.0668) + (xy 1.556226 1.110766) (xy 1.44549 1.191491) (xy 1.371355 1.283984) (xy 1.333565 1.394205) (xy 1.321199 1.55911) + (xy 1.3208 1.613427) (xy 1.349526 1.901256) (xy 1.426672 2.21591) (xy 1.538689 2.511707) (xy 1.644203 2.703914) + (xy 1.777951 2.837399) (xy 1.932032 2.890155) (xy 2.082145 2.85649) (xy 2.134312 2.818755) (xy 2.241993 2.759469) + (xy 2.319736 2.752031) (xy 2.405379 2.808964) (xy 2.431971 2.91733) (xy 2.406519 3.051371) (xy 2.336033 3.185327) + (xy 2.227518 3.293439) (xy 2.162739 3.329112) (xy 1.956501 3.367914) (xy 1.726658 3.339856) (xy 1.517918 3.253283) + (xy 1.439033 3.19403) (xy 1.224093 2.937098) (xy 1.051983 2.616544) (xy 0.928305 2.256928) (xy 0.858664 1.882809) + (xy 0.848664 1.518748) (xy 0.249521 1.518748) (xy 0.303549 1.693982) (xy 0.37095 2.001524) (xy 0.434153 2.369712) + (xy 0.486223 2.797355) (xy 0.501602 3.171024) (xy 0.481688 3.482885) (xy 0.42788 3.725109) (xy 0.341575 3.889862) + (xy 0.224171 3.969314) (xy 0.147788 3.973928) (xy 0.096067 3.966969) (xy 0.049351 3.954199) (xy 0.002677 3.927902) + (xy -0.048921 3.88036) (xy -0.110409 3.803856) (xy -0.186751 3.690673) (xy -0.282912 3.533094) (xy -0.403857 3.323402) + (xy -0.554552 3.053879) (xy -0.739961 2.716809) (xy -0.965049 2.304474) (xy -1.178597 1.912344) + (xy -1.259057 1.722167) (xy -1.273268 1.578046) (xy -6.054066 1.578046) (xy -5.983447 1.750051) + (xy -5.828954 2.129312) (xy -5.757127 2.306799) (xy -3.825142 2.306799) (xy -3.813252 2.219012) + (xy -3.809978 2.209741) (xy -3.731932 2.102298) (xy -3.62077 2.080502) (xy -3.487295 2.138379) (xy -3.342307 2.269959) + (xy -3.19661 2.469269) (xy -3.130586 2.585353) (xy -2.943773 2.940858) (xy -2.602187 2.812326) (xy -2.431834 2.746558) + (xy -2.30291 2.693657) (xy -2.241229 2.664252) (xy -2.239869 2.663133) (xy -2.240277 2.607547) (xy -2.259089 2.476741) + (xy -2.292605 2.29466) (xy -2.309794 2.210482) (xy -2.352768 1.988498) (xy -2.3702 1.843216) (xy -2.363233 1.753303) + (xy -2.337005 1.702047) (xy -2.223193 1.632443) (xy -2.085384 1.653556) (xy -1.958583 1.7452) (xy -1.89275 1.845905) + (xy -1.810559 2.024217) (xy -1.717652 2.261748) (xy -1.619668 2.540113) (xy -1.522249 2.840926) + (xy -1.431035 3.145801) (xy -1.351668 3.436352) (xy -1.289787 3.694193) (xy -1.251034 3.900937) + (xy -1.241049 4.0382) (xy -1.245915 4.068143) (xy -1.314572 4.171142) (xy -1.378447 4.220065) (xy -1.497171 4.241647) + (xy -1.619879 4.177091) (xy -1.750949 4.022203) (xy -1.894756 3.77279) (xy -1.939126 3.682893) (xy -2.142583 3.258877) + (xy -2.342602 3.329447) (xy -2.527437 3.398086) (xy -2.63849 3.461292) (xy -2.694598 3.546338) (xy -2.714603 3.680496) + (xy -2.717317 3.871888) (xy -2.737495 4.162458) (xy -2.800827 4.372595) (xy -2.912807 4.51623) (xy -2.992483 4.569476) + (xy -3.099079 4.594293) (xy -3.191486 4.541355) (xy -3.273857 4.404335) (xy -3.350344 4.176908) + (xy -3.407672 3.937) (xy -3.472953 3.657218) (xy -3.557045 3.333438) (xy -3.646106 3.017872) (xy -3.687123 2.882841) + (xy -3.765411 2.620449) (xy -3.810306 2.434523) (xy -3.825142 2.306799) (xy -5.757127 2.306799) + (xy -5.659666 2.547631) (xy -5.4864 2.978297) (xy -4.5974 5.194177) (xy -4.335008 5.316641) (xy -3.967734 5.450241) + (xy -3.52688 5.547825) (xy -3.034789 5.606868) (xy -2.513801 5.624845) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "10167be3-d27a-4b77-9b4c-cbe52d09b368") + ) + ) + (gr_rect + (start 57.336 64.008) + (end 112.336 134.008) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "955b5a14-1e5f-4cab-8d29-4bb7a970c4ea") + ) + (segment + (start 80.772 114.19) + (end 84.9245 114.19) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "389281c7-cdf5-4ed0-bc81-68e1bdc128ed") + ) + (segment + (start 84.9245 114.19) + (end 85.3225 113.792) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "51f55559-8c03-478b-a280-6d5d6df8b243") + ) + (segment + (start 78.342 111.76) + (end 80.772 114.19) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "823aae3f-048b-43ae-beec-9c146d298345") + ) + (segment + (start 75.184 111.76) + (end 78.342 111.76) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "8244c2b1-0937-4233-ae43-310f5ca607e9") + ) + (segment + (start 71.12 95.504) + (end 65.532 95.504) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "04fec417-1c00-4be0-a306-42515538833e") + ) + (segment + (start 104.648 108.204) + (end 104.648 105.664) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "083b32bf-473c-4732-9bd2-58f1a551645b") + ) + (segment + (start 88.408 131.757) + (end 88.408 128.807) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "08727eac-d850-4eaf-bba9-d067457c0efa") + ) + (segment + (start 102.616 105.664) + (end 101.6 104.648) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "089a07a3-1d26-4c22-a79f-4c9f9361839b") + ) + (segment + (start 102.108 86.532) + (end 103.296 86.532) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "09476216-0230-4417-bc57-795fdc0cba05") + ) + (segment + (start 82.804 115.316) + (end 87.376 115.316) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "1242b947-cb54-4eef-b85e-047f0aceef3b") + ) + (segment + (start 79.248 83.14) + (end 79.248 87.376) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "1b406284-bf25-4b32-ba25-17b03cc21fb8") + ) + (segment + (start 81.788 121.92) + (end 80.772 120.904) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "1def1ce4-3101-4d58-8652-829d969792bb") + ) + (segment + (start 90.4025 99.0815) + (end 90.4025 104.648) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "1e611729-1ba6-4d40-9d81-f6f497a46070") + ) + (segment + (start 86.825265 127.224265) + (end 88.408 128.807) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "225cfe05-2436-4912-91ed-dcb768c81b2a") + ) + (segment + (start 61.468 95.504) + (end 61.2 95.236) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "337671c4-2a2a-489a-ade5-1b9b1b2d7f1b") + ) + (segment + (start 99.0815 98.044) + (end 99.0815 98.6445) + (width 0.8) + (layer "F.Cu") + (net 2) + (uuid "344c7c79-778a-4edf-8c26-5da57014803e") + ) + (segment + (start 110.744 110.236) + (end 106.68 110.236) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "390349ee-fd2d-4e84-9fdd-97bae0d1152f") + ) + (segment + (start 78.74 125.984) + (end 78.74 125.308529) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "3f2eeca4-d3da-475c-9629-2a905c2ff85e") + ) + (segment + (start 71.12 133.408) + (end 70.104 132.392) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "4792a785-acfd-43d5-9ecc-8310d2351f68") + ) + (segment + (start 90.4025 104.648) + (end 90.4025 106.68) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "48abcfaa-7b80-4b33-8e7c-15f1169ef31a") + ) + (segment + (start 99.396 83.82) + (end 102.108 86.532) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "4bbc7ff6-b92a-449b-be64-0287e442ac98") + ) + (segment + (start 97.632 75.5875) + (end 109.632 75.5875) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "4c7443d9-b526-414d-99a1-7e09af39595d") + ) + (segment + (start 87.3975 109.728) + (end 87.3975 113.792) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "559adf3b-cbe0-4a80-a73e-894737a00454") + ) + (segment + (start 99.0815 98.6445) + (end 101.6 101.163) + (width 0.8) + (layer "F.Cu") + (net 2) + (uuid "562b6f6c-7454-4497-95b3-7ead76a370e9") + ) + (segment + (start 87.3975 115.2945) + (end 87.3975 113.792) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "569d0633-d4de-450e-acb3-03b6635bfe3f") + ) + (segment + (start 59.816 91.682) + (end 61.2 93.066) + (width 1.3) + (layer "F.Cu") + (net 2) + (uuid "5718126f-cacc-42c6-ab34-685a2350dba4") + ) + (segment + (start 92.456 98.552) + (end 93.472 98.552) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "5c877087-af76-431b-99a9-248846c80cb8") + ) + (segment + (start 78.74 125.308529) + (end 81.788 122.260529) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "5d687428-af15-488a-b6e5-579c90d837ac") + ) + (segment + (start 83.807 133.408) + (end 71.12 133.408) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "623baf82-070a-4a4e-bed1-7ddb03025b38") + ) + (segment + (start 82.466 85.979) + (end 82.296 85.809) + (width 0.8) + (layer "F.Cu") + (net 2) + (uuid "67174819-d996-4996-8462-7468783f98f9") + ) + (segment + (start 103.296 86.532) + (end 107.188 90.424) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "677f5f67-8650-45b9-b10d-6b574446bd69") + ) + (segment + (start 106.68 110.236) + (end 104.648 108.204) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "67f89845-ba8c-4219-a259-916f9a823808") + ) + (segment + (start 90.4025 104.648) + (end 88.435 104.648) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "6ce9cc9b-c868-4281-8534-8b13b31f477e") + ) + (segment + (start 79.248 87.376) + (end 71.12 95.504) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "70356908-18d5-4c73-88ef-32bd6cdab0a4") + ) + (segment + (start 90.4025 106.68) + (end 90.4025 108.058029) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "70ce0edf-c4f4-443b-a9ce-48c6ae033174") + ) + (segment + (start 97.071 83.82) + (end 97.071 85.809) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "75fc64c3-d877-4b2c-be88-0a60c0deb2b6") + ) + (segment + (start 88.965 85.979) + (end 90.043 85.979) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "76b18bc5-4bb5-478f-a3f6-647c03cfb7c8") + ) + (segment + (start 88.435 104.7125) + (end 90.4025 106.68) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "7d60705a-df27-485d-bbeb-3c174767c5d4") + ) + (segment + (start 72.644 114.3) + (end 75.184 114.3) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "7d85f9b7-5750-47f3-adcf-5a42e046b897") + ) + (segment + (start 109.632 79.008) + (end 102.108 86.532) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "7ecd1012-11c6-4e22-80d9-a986457c2c5f") + ) + (segment + (start 88.965 85.979) + (end 82.466 85.979) + (width 0.8) + (layer "F.Cu") + (net 2) + (uuid "8bcba9c5-6b4a-41c3-bd2e-058d2e246fc0") + ) + (segment + (start 79.955108 127.199108) + (end 78.74 125.984) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "8c0a6a7e-f1e8-4ff0-afe1-14054f23709e") + ) + (segment + (start 69.088 116.84) + (end 70.104 116.84) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "8e58cc54-1a19-47e1-a394-da8837d2ca21") + ) + (segment + (start 90.932 98.552) + (end 90.4025 99.0815) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "95e23f73-d91a-4691-a474-39f00b75dc70") + ) + (segment + (start 81.788 122.260529) + (end 81.788 121.92) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "9693ec18-14c4-4567-9d08-a5b8c134493e") + ) + (segment + (start 80.772 120.904) + (end 80.772 117.348) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "9a62ecd8-955b-4a7b-adbb-a3cab5fba04f") + ) + (segment + (start 88.435 104.648) + (end 88.435 104.7125) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "9c90e886-916e-45ed-a964-6a733b1b7418") + ) + (segment + (start 90.043 85.979) + (end 90.932 86.868) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "9cff71f2-1043-432b-83fb-dbb8a2a3a5ef") + ) + (segment + (start 80.772 117.348) + (end 82.804 115.316) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "a5abb2b8-d394-4fdc-9010-075c6f7749c5") + ) + (segment + (start 59.816 75.136) + (end 59.816 91.682) + (width 1.3) + (layer "F.Cu") + (net 2) + (uuid "a96d2a47-bc5d-4fda-b24a-5f2b0a910a82") + ) + (segment + (start 87.376 115.316) + (end 87.3975 115.2945) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "ab735481-7875-4825-abd1-4e8eb9018769") + ) + (segment + (start 104.648 105.664) + (end 102.616 105.664) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "aebd14b2-803b-453a-a4f7-91621f5e7338") + ) + (segment + (start 62.816 72.136) + (end 59.816 75.136) + (width 1.3) + (layer "F.Cu") + (net 2) + (uuid "b1142982-cad0-4eb6-82e0-4f53fd6e69ed") + ) + (segment + (start 100.492 78.4475) + (end 97.632 75.5875) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "b1435834-a4df-448e-990b-17983c80df4f") + ) + (segment + (start 109.632 75.5875) + (end 109.632 79.008) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "b2a0dac7-6816-4cb3-8f9f-e7eaa948e6ac") + ) + (segment + (start 70.104 116.84) + (end 72.644 114.3) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "b47c217d-c6a8-4de5-919d-855d233a32e9") + ) + (segment + (start 101.6 104.648) + (end 101.6 101.163) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "b88acfa9-d21a-446f-9f23-b3813af0112e") + ) + (segment + (start 88.732529 109.728) + (end 87.3975 109.728) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "bc73a2c4-d535-459c-874a-30c90af98a89") + ) + (segment + (start 90.4025 108.058029) + (end 88.732529 109.728) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "bf1b8052-0d21-4896-9bcc-7d95923dcb61") + ) + (segment + (start 79.248 83.14) + (end 81.917 85.809) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "c9284f1a-18b2-4482-b8c5-7468f21431e3") + ) + (segment + (start 102.382 78.4475) + (end 100.492 78.4475) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "ceb005de-9be3-4b24-8513-a7951a754993") + ) + (segment + (start 81.917 85.809) + (end 82.296 85.809) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "d09d2080-3da6-44ab-a826-97dad2144891") + ) + (segment + (start 83.428265 127.224265) + (end 86.825265 127.224265) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "d95f7ba4-e26e-4c99-8b13-686d7bc0d3d8") + ) + (segment + (start 70.104 132.392) + (end 70.104 116.84) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "dae3af47-6686-4ee4-9688-57a23bb9871b") + ) + (segment + (start 65.017 116.84) + (end 67.564 116.84) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "dc25260c-de34-435d-942b-2e4749e26ba9") + ) + (segment + (start 80.971108 127.199108) + (end 79.955108 127.199108) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "dfc806a1-a3bc-486b-bfa6-5d1a85a0a4dd") + ) + (segment + (start 107.188 90.424) + (end 107.188 103.632) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "dff80b4b-8d3a-4963-bf80-11ad1a831067") + ) + (segment + (start 107.188 103.632) + (end 105.156 105.664) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "e394eb67-c09b-4146-89ba-9448c85b74b4") + ) + (segment + (start 97.071 83.82) + (end 99.396 83.82) + (width 0.6) + (layer "F.Cu") + (net 2) + (uuid "ea4e094b-7157-4c1e-8a29-3b5108980f03") + ) + (segment + (start 88.408 128.807) + (end 83.807 133.408) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "f59c36f5-4ac9-49c0-a5d4-31288ccfbe70") + ) + (segment + (start 64.516 72.136) + (end 62.816 72.136) + (width 1.3) + (layer "F.Cu") + (net 2) + (uuid "f8089e8a-ccfd-440f-9ff5-30d4aa3468f6") + ) + (segment + (start 105.156 105.664) + (end 104.648 105.664) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "fab9b965-a282-48fb-b025-63142eead67b") + ) + (segment + (start 90.932 98.552) + (end 92.456 98.552) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "fc34faeb-a4e8-4619-a076-280206258d28") + ) + (segment + (start 97.071 85.809) + (end 96.52 86.36) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "fc8f95b9-9cef-4bd2-a84c-342be53e3fe1") + ) + (segment + (start 65.532 95.504) + (end 61.468 95.504) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "fca5a2a4-4e27-46e4-b827-65503e6f4e31") + ) + (segment + (start 61.2 95.236) + (end 61.2 93.066) + (width 1) + (layer "F.Cu") + (net 2) + (uuid "fdc25706-f481-4f55-8c38-b78a87e127f8") + ) + (via + (at 69.088 116.84) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "06adc682-8f62-4427-bec4-d96a8db1518b") + ) + (via + (at 83.428265 127.224265) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "09289af2-dbb9-4634-8ef0-e050763279a4") + ) + (via + (at 80.971108 127.199108) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "29d39c12-c593-4279-b45b-a34d44b976ef") + ) + (via + (at 93.472 98.552) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "3c7ae39e-fa32-4044-8b8a-4076a1b71c12") + ) + (via + (at 96.52 86.36) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "747451e8-d13d-4ad6-b804-e115856e6580") + ) + (via + (at 90.932 86.868) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "aefcc7fd-4d5c-461c-8ed8-ae0a29c05cad") + ) + (via + (at 65.532 95.504) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "df411252-56fa-40d5-97a4-bba4451f79bd") + ) + (segment + (start 60.96 100.076) + (end 62.738 98.298) + (width 0.8) + (layer "B.Cu") + (net 2) + (uuid "23f3b50e-313c-469a-ac08-cdc34291a84b") + ) + (segment + (start 62.738 98.298) + (end 59.436 101.6) + (width 0.8) + (layer "B.Cu") + (net 2) + (uuid "27395888-957d-40f4-be86-75011372a368") + ) + (segment + (start 81.062216 127.108) + (end 80.971108 127.199108) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "625ba0ad-d55d-4363-915f-db537f0471ca") + ) + (segment + (start 59.436 100.076) + (end 60.96 100.076) + (width 0.8) + (layer "B.Cu") + (net 2) + (uuid "6f03273f-45cb-4911-82f0-62368f4a4372") + ) + (segment + (start 96.012 86.868) + (end 90.932 86.868) + (width 0.4) + (layer "B.Cu") + (net 2) + (uuid "7d289aa3-8b25-4fa5-b386-f06d8fdffd24") + ) + (segment + (start 101.6 102.616) + (end 101.6 101.163) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "7f73202e-d3e1-4895-97e7-be5f962578cc") + ) + (segment + (start 96.52 86.36) + (end 96.012 86.868) + (width 0.4) + (layer "B.Cu") + (net 2) + (uuid "9143a8f3-e435-437f-89a0-4fdb1f1fb4d8") + ) + (segment + (start 69.088 116.84) + (end 67.564 116.84) + (width 0.6) + (layer "B.Cu") + (net 2) + (uuid "91f5051e-4f2e-4386-837a-979d697cddfc") + ) + (segment + (start 83.428265 127.224265) + (end 83.403108 127.199108) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "a8061f4a-886d-43a6-8f35-86a690f3d35b") + ) + (segment + (start 59.436 101.6) + (end 59.436 102.616) + (width 0.8) + (layer "B.Cu") + (net 2) + (uuid "af40fe39-dcf2-4d01-ba39-88b91cdf4dcc") + ) + (segment + (start 83.428265 127.224265) + (end 83.312 127.108) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "d58b92a3-e5b2-4496-b24a-457be7ccfeb9") + ) + (segment + (start 62.738 98.298) + (end 65.532 95.504) + (width 0.8) + (layer "B.Cu") + (net 2) + (uuid "dd37ed62-eee7-42ca-8480-339473a9354e") + ) + (segment + (start 93.472 98.552) + (end 97.536 102.616) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "ddd99b78-19a8-4beb-9de9-a49533d35f7f") + ) + (segment + (start 83.403108 127.199108) + (end 80.971108 127.199108) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "e4c2b1c0-5993-42c3-99be-19ab9b5a0a15") + ) + (segment + (start 97.536 102.616) + (end 101.6 102.616) + (width 0.2) + (layer "B.Cu") + (net 2) + (uuid "f507e457-4254-4185-953e-d00a15dc4fce") + ) + (segment + (start 80.772 109.31) + (end 84.9045 109.31) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "069db4e2-f732-4a05-b0e9-1f881690b895") + ) + (segment + (start 84.9045 109.31) + (end 85.3225 109.728) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "37fdeec4-d907-4d53-8a88-448275637c56") + ) + (segment + (start 80.682 109.22) + (end 80.772 109.31) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "b3ac5ef4-684b-4532-9127-9bdd41af0b3e") + ) + (segment + (start 75.184 109.22) + (end 80.682 109.22) + (width 0.2) + (layer "F.Cu") + (net 3) + (uuid "e9d0143e-7828-4076-9a64-3774e613a2dd") + ) + (segment + (start 91.748 100.076) + (end 91.748 103.9185) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "21bdb175-4d1d-4f9d-b1e4-97f92fac9a73") + ) + (segment + (start 90.932 94.869) + (end 88.965 94.869) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "233f4e64-de5d-490b-918e-dc777a9d0903") + ) + (segment + (start 91.748 103.9185) + (end 92.4775 104.648) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "2d402a08-8e78-4b33-8714-d32851da0d1f") + ) + (via + (at 90.932 94.869) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 4) + (uuid "50f0d111-320e-44e0-ad74-227b89438046") + ) + (segment + (start 91.748 95.812) + (end 91.748 100.076) + (width 0.2) + (layer "B.Cu") + (net 4) + (uuid "8d809041-300f-4aae-8edc-5a52631bd8cd") + ) + (segment + (start 90.932 94.869) + (end 90.932 94.996) + (width 0.2) + (layer "B.Cu") + (net 4) + (uuid "95fe6c23-fde3-4d7a-b73f-6944cce4de38") + ) + (segment + (start 90.932 94.996) + (end 91.748 95.812) + (width 0.2) + (layer "B.Cu") + (net 4) + (uuid "ffab782e-4cba-4840-817c-9e57491f21ae") + ) + (segment + (start 87.249 93.599) + (end 86.868 93.98) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "2443b6a6-03a7-47c9-86a6-5ff3f9a48c68") + ) + (segment + (start 86.36 104.648) + (end 86.36 100.584) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "3c3182e3-c1ce-4009-8a2a-d15fd3263854") + ) + (segment + (start 86.36 100.584) + (end 86.868 100.076) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "84068c3e-95c2-484f-8637-1e6cd3ed5907") + ) + (segment + (start 88.965 93.599) + (end 87.249 93.599) + (width 0.2) + (layer "F.Cu") + (net 5) + (uuid "b41932ba-bde3-490b-9c04-384a3a4caa33") + ) + (via + (at 86.868 93.98) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 5) + (uuid "f9dee290-a6f8-444d-83d8-07ffad2a5091") + ) + (segment + (start 86.868 93.98) + (end 86.868 100.076) + (width 0.2) + (layer "B.Cu") + (net 5) + (uuid "33a486a0-238c-474f-a284-d56d3153b797") + ) + (segment + (start 75.184 132.08) + (end 78.7185 132.08) + (width 0.2) + (layer "F.Cu") + (net 6) + (uuid "ab043adb-eba1-4920-bb63-4f44a811ab2e") + ) + (segment + (start 61.468 111.252) + (end 61.468 118.364) + (width 0.2) + (layer "F.Cu") + (net 6) + (uuid "e516a629-2e43-4984-9e24-b80f8bd1e170") + ) + (via + (at 61.468 118.364) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 6) + (uuid "7cd15b7d-3224-48dc-aae3-39de1301ebb8") + ) + (via + (at 61.468 111.252) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 6) + (uuid "b9cfd510-51b9-4a43-926e-7f154237792c") + ) + (segment + (start 65.532 122.428) + (end 65.532 133.096) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "396c4ed3-b174-4bdd-8069-436b657be1cb") + ) + (segment + (start 60.452 111.252) + (end 61.468 111.252) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "48631da6-2576-4b6d-931e-341066649717") + ) + (segment + (start 72.332 133.408) + (end 73.66 132.08) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "5ffc5046-10d2-4675-a2fa-af8e6499fc38") + ) + (segment + (start 65.844 133.408) + (end 72.332 133.408) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "60016453-81b7-4b10-941e-66e9e2dcb718") + ) + (segment + (start 61.468 118.364) + (end 65.532 122.428) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "72837ae2-30f5-41c0-bf0e-44e78d283368") + ) + (segment + (start 73.66 132.08) + (end 75.184 132.08) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "cbc0f0c4-ef82-4297-864e-3d44638dadf2") + ) + (segment + (start 65.532 133.096) + (end 65.844 133.408) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "d44124c1-c70b-4004-b529-9a9600b9d8cc") + ) + (segment + (start 59.436 110.236) + (end 60.452 111.252) + (width 0.2) + (layer "B.Cu") + (net 6) + (uuid "ed82a8d9-26f1-4cd2-90fa-47e6328614dc") + ) + (segment + (start 93.98 108.204) + (end 94.488 107.696) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "1964ac4d-f0e7-47e3-823b-b8150f38d87a") + ) + (segment + (start 88.392 115.316) + (end 88.9 114.808) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "2be99551-3a17-4f65-9e3c-82999d11e3db") + ) + (segment + (start 88.9 112.268) + (end 92.964 108.204) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "325677cb-f195-465f-8640-385f4d957061") + ) + (segment + (start 94.488 107.696) + (end 94.488 98.044) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "533ea272-8e48-4768-a3eb-42c1342c53cf") + ) + (segment + (start 84.836 118.872) + (end 88.392 115.316) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "5b0404a5-8ac6-4ae1-8860-3a2d07292806") + ) + (segment + (start 92.964 108.204) + (end 93.98 108.204) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "940a6d0f-a4d0-42ad-b947-c26efde536c0") + ) + (segment + (start 80.7935 129.0105) + (end 84.836 124.968) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "9b988616-2600-4a61-a594-576c8f25cb52") + ) + (segment + (start 88.9 114.808) + (end 88.9 112.268) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "a9b01fab-96e3-4060-b8d3-e5e748beb6bb") + ) + (segment + (start 80.7935 132.08) + (end 80.7935 129.0105) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "b52768f4-13d8-4bd8-877d-66965492dec3") + ) + (segment + (start 84.836 124.968) + (end 84.836 118.872) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "b83d1b6d-256f-460a-845e-76552193692b") + ) + (segment + (start 93.915 89.789) + (end 90.567 89.789) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "ba96bf32-010e-4dd7-a1d0-756c571c3954") + ) + (segment + (start 90.567 89.789) + (end 90.44 89.916) + (width 0.2) + (layer "F.Cu") + (net 7) + (uuid "eae10c0f-d2e7-48c6-84b4-c7d637591a14") + ) + (via + (at 90.44 89.916) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "58e7d205-0515-4c91-9b42-e2c2ac459bd2") + ) + (via + (at 94.488 98.044) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 7) + (uuid "b9131e2f-32e0-4893-a59f-953528f7ccbb") + ) + (segment + (start 91.948 94.996) + (end 91.948 93.98) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "07f226de-48fd-46d0-9278-65addc29083c") + ) + (segment + (start 91.948 93.98) + (end 90.424 92.456) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "0c95bd08-c097-49e3-b758-bfc3eb45baa9") + ) + (segment + (start 90.424 92.456) + (end 90.424 89.916) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "2acf111d-4a6b-406e-b0f1-47605070e8bd") + ) + (segment + (start 90.424 89.916) + (end 90.44 89.916) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "3bee8493-63e5-4ed4-90fd-84c3f6e3fd96") + ) + (segment + (start 94.488 98.044) + (end 94.488 97.536) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "625caae8-8869-4a6f-afea-3b0866c140d4") + ) + (segment + (start 94.488 97.536) + (end 91.948 94.996) + (width 0.2) + (layer "B.Cu") + (net 7) + (uuid "7d01ea2d-33ad-4630-9e64-cc773261f2a4") + ) + (segment + (start 81.797 118.872) + (end 81.797 117.357) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "0afdc249-7234-44f4-98d5-0514f3757693") + ) + (segment + (start 71.018 93.066) + (end 76.708 87.376) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "294738b6-3372-4e71-bca0-a7df75b012d5") + ) + (segment + (start 77 79.5) + (end 81.532 79.5) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "363e6f74-ccb8-4583-8ca3-f3b942bfb178") + ) + (segment + (start 94.996 84.898) + (end 94.996 83.82) + (width 0.4) + (layer "F.Cu") + (net 8) + (uuid "39dd2f53-983f-4700-aa8f-f35a05faf8f5") + ) + (segment + (start 76.708 79.792) + (end 77 79.5) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "3a17f12d-5b20-4b00-962c-4bf71cb12856") + ) + (segment + (start 69.596 93.066) + (end 65.8 93.066) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "4770d455-3fbd-47df-8dfd-f237c6bb4316") + ) + (segment + (start 93.915 85.979) + (end 94.996 84.898) + (width 0.4) + (layer "F.Cu") + (net 8) + (uuid "558b7650-0a54-4d2d-b7d7-8eaca01f10a8") + ) + (segment + (start 81.532 79.5) + (end 84.328 82.296) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "55ddece9-dd03-47f8-96f6-de7062ee8da3") + ) + (segment + (start 67.564 114.3) + (end 68.58 114.3) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "578e4978-68df-42d6-a10b-f296b6282734") + ) + (segment + (start 81.797 117.357) + (end 81.788 117.348) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "5794e54a-3b75-4871-a52b-c020641fc00c") + ) + (segment + (start 89.916 79.248) + (end 94.488 83.82) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "65526f47-5a0a-4bda-95ac-b73923345d83") + ) + (segment + (start 67.564 111.76) + (end 67.564 114.3) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "699b5126-c40a-4a50-9701-cfc16525954f") + ) + (segment + (start 90.424 83.82) + (end 94.996 83.82) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "856e8856-f3b6-4e94-8195-5c4a33d96913") + ) + (segment + (start 67.656 97.028) + (end 68.164 96.52) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "8e7b45b6-ed75-406b-832a-37151d79b0d6") + ) + (segment + (start 76.708 87.376) + (end 76.708 79.792) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "9d5c65fc-12e6-47f6-8858-d692064f8124") + ) + (segment + (start 68.58 114.3) + (end 69.088 114.808) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "ab335622-2ab2-4814-964e-51016864c272") + ) + (segment + (start 84.328 82.296) + (end 88.9 82.296) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "b9e718e5-d0f3-4960-a1b4-94639ea8051a") + ) + (segment + (start 65.8 93.066) + (end 71.018 93.066) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "cfb788be-0cee-46d4-ada6-2b0397964d01") + ) + (segment + (start 88.9 82.296) + (end 90.424 83.82) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "dc73db0a-f81f-4f52-961a-cabebe5ade3b") + ) + (segment + (start 66.548 97.028) + (end 67.656 97.028) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "e4f48192-b858-463d-9848-3b8d6a94ee59") + ) + (segment + (start 94.488 83.82) + (end 94.996 83.82) + (width 0.6) + (layer "F.Cu") + (net 8) + (uuid "f8d647f2-6177-4efb-9c01-e7c425eb7058") + ) + (via + (at 81.788 117.348) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "48b01368-243a-43c1-ae66-fe77ec3ee60c") + ) + (via + (at 69.088 114.808) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "50925019-69f7-4427-a4b0-f47e1ba63ab9") + ) + (via + (at 68.164 96.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "dd425143-87b5-4dfc-8a67-ddf143b3948d") + ) + (via + (at 69.596 93.066) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "e86c32c2-127c-4c75-ab81-10b9f085aee6") + ) + (via + (at 66.548 97.028) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 8) + (uuid "eaaba670-507b-468f-a19d-40eb0f213bad") + ) + (segment + (start 66.548 112.776) + (end 67.564 111.76) + (width 0.2) + (layer "B.Cu") + (net 8) + (uuid "1aa2e670-bee1-4e33-a782-98765ecc0361") + ) + (segment + (start 68.164 94.072) + (end 69.17 93.066) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "1ac81dba-89aa-4238-8237-6f2cc53d1867") + ) + (segment + (start 81.28 116.84) + (end 75.184 116.84) + (width 0.2) + (layer "B.Cu") + (net 8) + (uuid "404d8aa2-7549-4191-8bf6-a49acc71926d") + ) + (segment + (start 69.17 93.066) + (end 69.596 93.066) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "46239669-18b1-4cfb-8a8b-9880585cc62e") + ) + (segment + (start 62.484 101.092) + (end 62.484 102.108) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "66bf827c-1a1f-4be2-b5fa-b147c3c35366") + ) + (segment + (start 66.548 97.028) + (end 62.484 101.092) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "73bfe08a-ce07-4804-a514-40eefd3fb160") + ) + (segment + (start 71.12 116.84) + (end 75.184 116.84) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "75257bac-5610-404b-b902-ea96f9653eec") + ) + (segment + (start 69.596 93.066) + (end 70.612 94.082) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "7d1be768-94ad-404e-bebb-3428ed32c35c") + ) + (segment + (start 70.612 111.76) + (end 67.564 111.76) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "7d43fb76-c93b-46f5-9b15-8b1649cc201f") + ) + (segment + (start 70.612 94.082) + (end 70.612 111.76) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "8629af51-6ba5-4e6e-91c3-913fc3572adf") + ) + (segment + (start 69.088 114.808) + (end 71.12 116.84) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "a3d9f48a-c19d-4f30-a730-b47ec4e3b329") + ) + (segment + (start 68.164 96.52) + (end 68.164 94.072) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "ba04b795-1bcd-4dcf-ae3a-a5a31af46999") + ) + (segment + (start 59.436 112.776) + (end 66.548 112.776) + (width 0.2) + (layer "B.Cu") + (net 8) + (uuid "c294a62d-bcce-44fd-bc55-a9caccd775f1") + ) + (segment + (start 62.484 102.108) + (end 59.436 105.156) + (width 0.6) + (layer "B.Cu") + (net 8) + (uuid "eec6460a-88ce-4ad6-84c7-bed71e7862fb") + ) + (segment + (start 81.788 117.348) + (end 81.28 116.84) + (width 0.2) + (layer "B.Cu") + (net 8) + (uuid "fd792434-bf3e-4b73-8ed0-324ef9198db4") + ) + (segment + (start 99.904 95.332) + (end 102.108 95.332) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "0554e2b5-e069-491f-b8bf-f97a44b5e087") + ) + (segment + (start 102.108 95.332) + (end 102.108 99.131) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "0d649642-67dc-467c-9e31-a78b2184b49b") + ) + (segment + (start 87.376 96.52) + (end 95.504 96.52) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "2cf99748-57f5-40c2-b304-268cf1378ffb") + ) + (segment + (start 80.772 90.932) + (end 81.788 90.932) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "6c55da4f-9d4b-4faf-9b60-eeb5dd5a0ff3") + ) + (segment + (start 99.822 95.25) + (end 99.904 95.332) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "6d025e84-2dd1-4533-9d6f-2c4106d9415a") + ) + (segment + (start 69.556 82.804) + (end 73.112 86.36) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "85aacb17-a7c3-41ef-a6ce-b50c6061292d") + ) + (segment + (start 73.112 86.36) + (end 73.152 86.36) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "8dc70299-c878-48a7-9f0d-b620edfa1997") + ) + (segment + (start 102.108 99.131) + (end 104.14 101.163) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "903fe13b-d885-4bb9-bc83-272d02f8c677") + ) + (segment + (start 81.788 90.932) + (end 87.376 96.52) + (width 0.8) + (layer "F.Cu") + (net 9) + (uuid "efc897bd-eb36-4afd-a26e-c5d96745c8d1") + ) + (via + (at 99.822 95.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 9) + (uuid "1e42f172-3dee-4c37-ad42-ec8a6f904aec") + ) + (via + (at 95.504 96.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 9) + (uuid "389a8abb-a824-4937-8b04-25dd346236ac") + ) + (via + (at 73.152 86.36) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 9) + (uuid "4f8c83c1-40e2-418c-98ad-96a97da54069") + ) + (via + (at 80.772 90.932) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 9) + (uuid "df852112-476c-41da-8bef-0b9ad15bf9d3") + ) + (segment + (start 98.552 96.52) + (end 95.504 96.52) + (width 0.8) + (layer "B.Cu") + (net 9) + (uuid "0561e2c3-78d4-41d4-b8c2-be342638c133") + ) + (segment + (start 67.056 89.916) + (end 70.612 86.36) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "12deda0d-6276-4a1c-a5be-77b3318ccf52") + ) + (segment + (start 73.152 86.36) + (end 77.724 90.932) + (width 0.8) + (layer "B.Cu") + (net 9) + (uuid "353350aa-5a92-4566-b758-2088627740aa") + ) + (segment + (start 99.822 95.25) + (end 98.552 96.52) + (width 0.8) + (layer "B.Cu") + (net 9) + (uuid "44f1f089-e147-4af6-b6da-01230acbc253") + ) + (segment + (start 61.468 97.536) + (end 66.04 92.964) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "5d78d2ed-e716-4245-ab5b-2a19022b1d19") + ) + (segment + (start 70.612 86.36) + (end 73.152 86.36) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "90592641-d160-44e2-bfd7-d0fe1a84d8e2") + ) + (segment + (start 59.436 97.536) + (end 61.468 97.536) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "af953d22-e579-4683-9ab9-e26afedcdec1") + ) + (segment + (start 79.756 90.932) + (end 80.772 90.932) + (width 0.8) + (layer "B.Cu") + (net 9) + (uuid "cd6c8537-abe9-4800-9ec2-57653961e705") + ) + (segment + (start 66.04 89.916) + (end 67.056 89.916) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "cda71041-a956-468c-967c-29dc4a427b3d") + ) + (segment + (start 66.04 92.964) + (end 66.04 89.916) + (width 0.6) + (layer "B.Cu") + (net 9) + (uuid "dd36d27f-ea11-4980-b5c4-ededb74ad10e") + ) + (segment + (start 77.724 90.932) + (end 79.756 90.932) + (width 0.8) + (layer "B.Cu") + (net 9) + (uuid "e08a7aa8-a1cd-44bb-900e-dfcf15b44258") + ) + (segment + (start 98.552 87.749) + (end 98.552 86.36) + (width 0.6) + (layer "F.Cu") + (net 10) + (uuid "3e9377f0-94dc-4599-8291-d0faecbea50c") + ) + (segment + (start 97.0065 99.1095) + (end 97.0065 98.044) + (width 0.8) + (layer "F.Cu") + (net 10) + (uuid "447b7e48-0aef-49ab-9b8a-3e89141944c8") + ) + (segment + (start 97.0065 89.2945) + (end 98.552 87.749) + (width 0.6) + (layer "F.Cu") + (net 10) + (uuid "7b4f187c-2d99-4420-b6cd-81aa7e0c0014") + ) + (segment + (start 99.06 101.163) + (end 97.0065 99.1095) + (width 0.8) + (layer "F.Cu") + (net 10) + (uuid "7f6079d4-73ab-4a73-8c25-c980af2bd7d2") + ) + (segment + (start 84.156 79.248) + (end 79.248 74.34) + (width 0.6) + (layer "F.Cu") + (net 10) + (uuid "a81eb1e3-bb19-46fe-a275-ebd37a39952c") + ) + (segment + (start 97.0065 98.044) + (end 97.0065 89.2945) + (width 0.6) + (layer "F.Cu") + (net 10) + (uuid "a9892cb9-392f-46d2-a3e6-e1fe7345d94e") + ) + (segment + (start 87.376 79.248) + (end 84.156 79.248) + (width 0.6) + (layer "F.Cu") + (net 10) + (uuid "abcbe77d-cbaa-4189-b6fc-49ba14661c0b") + ) + (via + (at 98.552 86.36) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 10) + (uuid "fb63a726-bad4-427c-afc0-b72925163a33") + ) + (segment + (start 87.376 78.232) + (end 87.376 79.248) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "006a1401-c5aa-4fcd-96dd-33e21134ed83") + ) + (segment + (start 94.996 77.216) + (end 94.488 76.708) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "31ffece7-7081-47f0-8f3c-6262bbf7f5d6") + ) + (segment + (start 98.552 79.756) + (end 98.547808 79.756) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "7e3554c2-e24e-4126-9dda-10615a31afc8") + ) + (segment + (start 94.488 76.708) + (end 88.9 76.708) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "965206cb-bfdd-4bb1-8ff3-0f8f2010e68b") + ) + (segment + (start 97.531808 78.74) + (end 94.996 78.74) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "a32f9128-47d4-45bf-a657-999033b9e497") + ) + (segment + (start 98.547808 79.756) + (end 97.531808 78.74) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "adb5bef1-815b-4ea8-80a5-27e4e5c966cb") + ) + (segment + (start 88.9 76.708) + (end 87.376 78.232) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "b0c81269-5e4c-4deb-b9c4-b7aed37d0286") + ) + (segment + (start 94.996 78.74) + (end 94.996 77.216) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "b514562c-4d53-4f02-9c1f-902ade5e9ae0") + ) + (segment + (start 98.552 86.36) + (end 98.552 79.756) + (width 0.6) + (layer "B.Cu") + (net 10) + (uuid "d376041e-7997-41b1-894d-cb9c0c0419d8") + ) + (segment + (start 62.992 98.552) + (end 62.992 104.14) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "3690f84f-a8e0-474e-93b9-344e84f001ab") + ) + (segment + (start 63.5 89.408) + (end 63.5 86.766) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "4b1a5650-77d2-4f4a-95d8-d294a71707fa") + ) + (segment + (start 63.5 93.066) + (end 63.5 89.408) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "4d5691dc-56a5-4261-9e9d-bac527c7f8e9") + ) + (segment + (start 69.088 87.376) + (end 74.676 87.376) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "66415ee5-1c62-4163-b716-1c9818e66c66") + ) + (segment + (start 84.201 89.789) + (end 82.296 87.884) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "74512e4d-d12b-49a2-ade6-488285ebe43a") + ) + (segment + (start 63.5 86.766) + (end 68.478 86.766) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "9581146f-b333-484f-bd28-92ec6e1134d7") + ) + (segment + (start 80.264 86.868) + (end 81.28 87.884) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "bea85e4a-1907-410a-be79-a39d2d7f0a06") + ) + (segment + (start 81.28 87.884) + (end 82.296 87.884) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "c07afb81-00b7-4898-a690-45384f8f9641") + ) + (segment + (start 68.478 86.766) + (end 69.088 87.376) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "d1896474-f44a-4a0c-b57f-e7968a3cb0bd") + ) + (segment + (start 74.676 87.376) + (end 75.184 86.868) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "e755aa42-8737-4694-a932-568b8d65dc16") + ) + (segment + (start 60.96 96.52) + (end 62.992 98.552) + (width 0.6) + (layer "F.Cu") + (net 11) + (uuid "e9dcc7ed-555a-44d3-a55e-adf5f1f17658") + ) + (segment + (start 88.965 89.789) + (end 84.201 89.789) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "fee5bc51-3da3-4963-8b7a-82d8fbbe771c") + ) + (via + (at 60.96 96.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "005e8c5b-3ac8-406a-ad91-c532cbae4aa2") + ) + (via + (at 62.992 104.14) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "53756af7-195e-4414-aff5-49b883074ba5") + ) + (via + (at 63.5 89.408) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "5b42ddc5-46db-45e6-a13e-3d1ef1fea2a9") + ) + (via + (at 75.184 86.868) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "d9fa828d-d0c0-4493-93da-82985cb4852a") + ) + (via + (at 80.264 86.868) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 11) + (uuid "fa338e78-146a-42a6-9e65-14123ebd0fd6") + ) + (segment + (start 60.96 96.52) + (end 60.96 91.948) + (width 0.6) + (layer "B.Cu") + (net 11) + (uuid "416d6341-ae32-490c-a74b-f20f464b1434") + ) + (segment + (start 59.436 107.696) + (end 62.992 104.14) + (width 0.6) + (layer "B.Cu") + (net 11) + (uuid "567a6f86-d5cc-4195-98fe-f4f7600aaafa") + ) + (segment + (start 75.184 86.868) + (end 80.264 86.868) + (width 0.6) + (layer "B.Cu") + (net 11) + (uuid "63ac9be5-c090-4002-8895-3613a188abbb") + ) + (segment + (start 60.96 91.948) + (end 63.5 89.408) + (width 0.6) + (layer "B.Cu") + (net 11) + (uuid "6e7af41d-1f9a-4e89-bd4b-c0a17a3327cd") + ) + (segment + (start 64.516 78.136) + (end 64.516 82.764) + (width 0.8) + (layer "F.Cu") + (net 12) + (uuid "6733dca0-9ddd-4e2c-8d8e-c9a45f489d87") + ) + (segment + (start 64.516 82.764) + (end 64.556 82.804) + (width 0.8) + (layer "F.Cu") + (net 12) + (uuid "dd02fcc0-fb9f-4867-b66d-fced153ea5b7") + ) + (segment + (start 65.042 111.742) + (end 67.564 109.22) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "023bec36-e0d9-4910-928f-6a07b3fbf205") + ) + (segment + (start 72.136 108.712) + (end 72.136 105.664) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "3221d637-e459-489a-ba2f-efa360b81ef8") + ) + (segment + (start 85.286314 108.204) + (end 89.408 108.204) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "4df231b2-0129-4656-a9ba-b35c54180055") + ) + (segment + (start 71.628 109.22) + (end 72.136 108.712) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "8c9a65c6-e286-420b-9056-4369ee6f6810") + ) + (segment + (start 79.756 102.616) + (end 79.756 102.673686) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "b0f5d812-7c67-4233-a367-8272139aee05") + ) + (segment + (start 65.042 113.792) + (end 65.042 111.742) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "e9f03fcc-0b2c-4276-839a-4a9dc9269cca") + ) + (segment + (start 79.756 102.673686) + (end 85.286314 108.204) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "ee1bae87-cd82-4834-b84e-70238113bfc5") + ) + (segment + (start 67.564 109.22) + (end 71.628 109.22) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "f0ef3063-6a2c-4d10-bc57-5244a499c439") + ) + (via + (at 72.136 105.664) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 13) + (uuid "b3f2f6bc-64d1-4e97-bfb5-d22221234019") + ) + (via + (at 79.756 102.616) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 13) + (uuid "b8d0b64b-1a6d-4d4c-823f-743b625be2f3") + ) + (via + (at 89.408 108.204) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 13) + (uuid "e2a18b8a-6720-429d-85d6-b52f62c4de75") + ) + (segment + (start 72.136 97.028) + (end 72.136 105.664) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "3049ae3b-db52-4a8b-a5b1-04dfc88cfb4e") + ) + (segment + (start 96.012 107.696) + (end 110.744 107.696) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "3eed8ee4-5f3e-4c96-b19a-2856fad0d086") + ) + (segment + (start 79.756 102.616) + (end 79.756 98.552) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "7f86ddfc-6f0e-409d-a452-dcd9b00248eb") + ) + (segment + (start 89.408 108.204) + (end 95.504 108.204) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "82891fd2-0e5f-4128-8fcb-ada5ca527089") + ) + (segment + (start 78.524003 97.320003) + (end 76.983737 97.320003) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "a1445bea-feb1-4043-a582-0b396db97f30") + ) + (segment + (start 76.691734 97.028) + (end 72.136 97.028) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "b66f4a84-b90a-4f7f-827f-edfa38fa8eda") + ) + (segment + (start 79.756 98.552) + (end 78.524003 97.320003) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "bcd5b487-ad37-48c9-acd7-653ecd58d665") + ) + (segment + (start 95.504 108.204) + (end 96.012 107.696) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "c4a8f9db-0f3c-40e0-bd07-d93dc06b225d") + ) + (segment + (start 76.983737 97.320003) + (end 76.691734 97.028) + (width 0.2) + (layer "B.Cu") + (net 13) + (uuid "d0d2514b-1826-456e-9d18-7797a4ebb7b2") + ) + (segment + (start 62.992 113.792) + (end 62.992 116.815) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "23b6c2aa-4416-4ff1-aa05-de8c96a0c27d") + ) + (segment + (start 62.992 116.815) + (end 63.017 116.84) + (width 0.2) + (layer "F.Cu") + (net 14) + (uuid "fb2f84f4-9aac-440d-b36b-413dddf92b0d") + ) + (segment + (start 79.788 118.913) + (end 79.747 118.872) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "1d4bb69f-b383-45ca-b5e2-c1b38054ab2d") + ) + (segment + (start 79.788 121.92) + (end 79.788 118.913) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "3b40e926-8f6d-4b80-8dc4-9df2563a0796") + ) + (segment + (start 93.746 128.807) + (end 93.971 129.032) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "817eacf0-bb70-43e7-8891-6c3e58a8da89") + ) + (segment + (start 90.408 128.807) + (end 93.746 128.807) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "f3e322d0-af76-49cf-be27-65af087a9731") + ) + (segment + (start 72.644 127) + (end 72.136 127.508) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "28a4a05b-e43e-42fd-81d7-c2b2f3497d12") + ) + (segment + (start 109.997 129.032) + (end 110.744 129.779) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "2a9ee948-6d2d-4dda-af56-325412bdb31c") + ) + (segment + (start 72.136 127.508) + (end 72.644 128.016) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "55c193d2-116c-4b99-88b3-ed6ee82f69d2") + ) + (segment + (start 72.644 128.016) + (end 80.264 128.016) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "68190b54-ca6e-45f6-96ee-d9ebf40185e6") + ) + (segment + (start 96.021 128.533) + (end 94.996 127.508) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "6aca11c2-d02d-47c6-af7f-1ffc545fb7e9") + ) + (segment + (start 96.021 129.032) + (end 96.021 128.533) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "7bf0e8d6-55d7-4eef-9d77-7ca06b18af49") + ) + (segment + (start 96.021 129.032) + (end 109.997 129.032) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "a813f3c8-2944-4b3c-9cff-caa9e1245dac") + ) + (segment + (start 75.184 127) + (end 72.644 127) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "d098c8d4-5422-4cb5-b410-811d9c47707d") + ) + (segment + (start 85.725 88.519) + (end 85.344 88.9) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "e8713edc-1995-4e40-b71c-2238e03120c2") + ) + (segment + (start 88.965 88.519) + (end 85.725 88.519) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "f14dd934-5f3c-4e88-9e54-3be53c13eead") + ) + (via + (at 94.996 127.508) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 17) + (uuid "8ebbf1d5-2a9c-4ca1-a708-5b147ce79efa") + ) + (via + (at 85.344 88.9) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 17) + (uuid "a7f936ea-25f8-48f8-950a-951a89b718dd") + ) + (via + (at 80.264 128.016) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 17) + (uuid "eb9986cb-0949-4c5a-a9ef-b91253d02869") + ) + (segment + (start 84.836 127.508) + (end 94.996 127.508) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "0d0054ec-5350-489e-829c-fca2023457ab") + ) + (segment + (start 81.788 105.664) + (end 83.312 107.188) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "14f2d601-03da-4f0d-bb66-640bd33e5a05") + ) + (segment + (start 83.312 123.444) + (end 79.756 127) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "35fd0b21-b9c5-4040-b182-d2ebada5c5c2") + ) + (segment + (start 81.788 92.456) + (end 81.788 105.664) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "4bd4ca0f-5212-4088-a46f-16facf4b944e") + ) + (segment + (start 80.264 128.016) + (end 84.328 128.016) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "71bd8e02-1ddf-4be2-be9f-b1e954035e57") + ) + (segment + (start 85.344 88.9) + (end 81.788 92.456) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "95ec930c-8cc2-4917-a048-676bceb29a90") + ) + (segment + (start 79.756 127) + (end 75.184 127) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "b5cc8e9f-b041-4ff3-ad39-2612539ec982") + ) + (segment + (start 84.328 128.016) + (end 84.836 127.508) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "c3d79cd5-267d-40a9-b72c-21ff6b3de914") + ) + (segment + (start 83.312 107.188) + (end 83.312 123.444) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "fd6f9f86-45ea-48d4-99c7-f61984c41d79") + ) + (segment + (start 96.268 132.319) + (end 96.021 132.072) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "194ec540-0f0b-4a2d-aac8-17ddcdd01ca3") + ) + (segment + (start 91.948 130.556) + (end 96.012 130.556) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "1abc6a12-9b99-47d6-8575-75ba9d83fb5d") + ) + (segment + (start 96.012 130.556) + (end 96.021 130.565) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "49a19c86-ca7e-4c8d-b1ba-125ddc007b08") + ) + (segment + (start 86.995 87.249) + (end 86.36 87.884) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "54d9c91c-de9b-4c6e-9943-14ace68fdfac") + ) + (segment + (start 110.744 132.319) + (end 96.268 132.319) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "7f40418d-40b3-4807-bc51-e9ca4ddf00a2") + ) + (segment + (start 88.965 87.249) + (end 86.995 87.249) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "c7437728-dacf-4f3a-8421-487dd1a94a3c") + ) + (segment + (start 96.021 130.565) + (end 96.021 132.072) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "d3947a3d-f8ae-49e9-82af-e3076fe7738a") + ) + (via + (at 86.36 87.884) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 18) + (uuid "10dc6c81-9f10-4288-9076-5a3784b8afc7") + ) + (via + (at 91.948 130.556) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 18) + (uuid "ea32ee04-1021-4cc7-9952-8ac317ae131c") + ) + (segment + (start 84.328 129.54) + (end 77.781686 129.54) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "0b79bf8b-a641-4a08-b190-f537c42f45fa") + ) + (segment + (start 84.328 107.188) + (end 82.804 105.664) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "14759d5c-5101-4b98-b807-38a7801f42cb") + ) + (segment + (start 86.36 89.408) + (end 86.36 87.884) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "30ae17ad-9b0d-45be-9433-b09a86b01585") + ) + (segment + (start 77.781686 129.54) + (end 84.328 122.993686) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "3dafccd2-84f7-4a95-bedb-90d763895ae2") + ) + (segment + (start 85.344 130.556) + (end 84.328 129.54) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "ba7829b2-ce65-4c42-a191-fb959dbf5155") + ) + (segment + (start 82.804 105.664) + (end 82.804 92.964) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "ce48cce7-7955-4844-87dc-c8066db78458") + ) + (segment + (start 75.184 129.54) + (end 77.781686 129.54) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "ea2deae9-5bc8-4be6-91ff-f18cf8f556dc") + ) + (segment + (start 84.328 122.993686) + (end 84.328 107.188) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "f9ddeb7b-0925-43b2-ab42-b32100cde65c") + ) + (segment + (start 91.948 130.556) + (end 85.344 130.556) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "fab500b4-0df8-4969-b62b-f86207774ece") + ) + (segment + (start 82.804 92.964) + (end 86.36 89.408) + (width 0.2) + (layer "B.Cu") + (net 18) + (uuid "fe2357ac-9329-4118-ab0c-d2f1a2ad5e33") + ) + (segment + (start 93.656 131.757) + (end 93.971 132.072) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "8b2c9174-f33f-483c-b94b-98499612d4dd") + ) + (segment + (start 90.408 131.757) + (end 93.656 131.757) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "b3593562-8165-4717-b8da-fb58f84d5397") + ) + (segment + (start 92.456 79.248) + (end 92.964 79.248) + (width 0.6) + (layer "F.Cu") + (net 20) + (uuid "5443dba5-cb0a-48d7-9f40-f88aa80b4e32") + ) + (segment + (start 92.964 79.248) + (end 94.1635 80.4475) + (width 0.6) + (layer "F.Cu") + (net 20) + (uuid "7ac93877-1968-4c27-a2a2-8fe3ff0a6ee9") + ) + (segment + (start 94.1635 80.4475) + (end 102.382 80.4475) + (width 0.6) + (layer "F.Cu") + (net 20) + (uuid "d729cf0a-68c4-46af-b223-b0a162b1ea65") + ) + (segment + (start 59.436 132.08) + (end 67.564 132.08) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "3680de02-fe77-4309-a35c-63d888333370") + ) + (segment + (start 67.564 129.54) + (end 59.436 129.54) + (width 0.2) + (layer "F.Cu") + (net 22) + (uuid "1c7cbb45-de08-4e00-a1fa-3541b3bcf00a") + ) + (segment + (start 59.436 121.92) + (end 67.564 121.92) + (width 0.2) + (layer "F.Cu") + (net 23) + (uuid "fc74303a-7716-4e7c-9952-202bb81b4913") + ) + (segment + (start 67.564 124.46) + (end 59.436 124.46) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "6e13a011-cdf0-4905-b71f-d040b5dd1706") + ) + (segment + (start 59.436 119.38) + (end 67.564 119.38) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "cddbd61d-37ca-483b-9179-1bbe7eb353e4") + ) + (segment + (start 59.436 127) + (end 67.564 127) + (width 0.2) + (layer "F.Cu") + (net 26) + (uuid "b2f76665-fb5d-41f8-9a0c-119f3d9743b3") + ) + (segment + (start 75.692 93.472) + (end 76.708 93.472) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "20cafa16-f200-4d53-9dd4-d25e82ad0cda") + ) + (segment + (start 75.184 98.044) + (end 75.692 97.536) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "24e83a13-715a-480c-938e-7c81fb538ad2") + ) + (segment + (start 83.82 93.98) + (end 81.28 93.98) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "6cf9aa21-625c-4f91-9aa2-ff5585780518") + ) + (segment + (start 81.28 93.98) + (end 80.772 93.472) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "92117a0f-a5b9-4fb2-9056-7b99703c5287") + ) + (segment + (start 84.836 101.6) + (end 83.82 100.584) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "ad2d2399-2d84-4bbb-aaab-60fda23e70e3") + ) + (segment + (start 83.82 100.584) + (end 83.82 93.98) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "bc8b3d81-3c12-4fe5-81fc-6e2dc93a449b") + ) + (segment + (start 80.772 93.472) + (end 76.708 93.472) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "e151352f-6eee-4a60-95fb-3a954e6934a9") + ) + (segment + (start 75.692 97.536) + (end 75.692 93.472) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "f22c70fc-8332-4ed6-b1de-6e2eda5d7151") + ) + (via + (at 76.708 93.472) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 27) + (uuid "215ff9b8-a17d-4be7-bb22-45b0c357d320") + ) + (via + (at 75.184 98.044) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 27) + (uuid "739499b0-ee28-4662-ba7f-146618802b5e") + ) + (via + (at 84.836 101.6) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 27) + (uuid "e5cae1da-b474-41cb-8efd-3eb7810a43cc") + ) + (segment + (start 93.472 103.124) + (end 91.948 101.6) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "2bc83dfb-5557-4173-958a-d4256a041af2") + ) + (segment + (start 105.664 103.124) + (end 93.472 103.124) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "2f2371d3-fdb2-43de-b2ec-0d0e406e8f3f") + ) + (segment + (start 106.172 102.616) + (end 105.664 103.124) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "48f2c28c-d56a-42c9-b07b-35cbdb10cdce") + ) + (segment + (start 107.188 94.996) + (end 106.172 96.012) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "5e8aef6a-67bb-47d1-99f8-613f5239d1cf") + ) + (segment + (start 76.708 93.472) + (end 77.724 93.472) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "7204bd8c-996e-4573-82d1-5c4e6bbc0644") + ) + (segment + (start 75.184 99.06) + (end 75.184 98.044) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "85779ad9-123e-42ec-84d3-3e81ccbbfadc") + ) + (segment + (start 110.744 94.996) + (end 107.188 94.996) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "8dfc910a-860a-46f6-8952-98a42533934e") + ) + (segment + (start 91.948 101.6) + (end 84.836 101.6) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "a6695a28-d2b3-4d3d-8c84-5e31fdd79417") + ) + (segment + (start 106.172 96.012) + (end 106.172 102.616) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "c38e2d7d-0765-4269-9f00-0bd9eb5cb61e") + ) + (segment + (start 78.232 96.720003) + (end 79.448003 96.720003) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "1dce1e99-ad88-4e18-a1dc-87437bf4c8ca") + ) + (segment + (start 83.312 105.664) + (end 84.836 105.664) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "383251cd-6f35-4737-9dff-f5e9c93d5871") + ) + (segment + (start 80.772 103.124) + (end 83.312 105.664) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "4bfb7932-2dfb-4ca7-b373-200178443860") + ) + (segment + (start 80.772 98.044) + (end 80.772 103.124) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "8cf705ae-34b3-47ca-b54d-14dc5a2e4ede") + ) + (segment + (start 79.448003 96.720003) + (end 80.772 98.044) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "c7c6565b-ce8d-4d33-86f9-efdfab0f72e4") + ) + (segment + (start 67.564 106.68) + (end 71.536 106.68) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "cbbe5572-bce9-44c7-856c-608adc96142f") + ) + (via + (at 71.536 106.68) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 28) + (uuid "140d0439-d0b9-4538-8484-67f637a57600") + ) + (via + (at 78.232 96.720003) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 28) + (uuid "81c5bbe9-b851-4f22-9660-2db064d066a2") + ) + (via + (at 84.836 105.664) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 28) + (uuid "d5715f85-fec7-4c1a-923b-c557c846eb5c") + ) + (segment + (start 78.139997 96.628) + (end 78.232 96.720003) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "108145fe-b99b-4631-93ed-825cffbe73ed") + ) + (segment + (start 71.970315 96.628) + (end 78.139997 96.628) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "27a399fd-1894-450b-85eb-a612b17eaa90") + ) + (segment + (start 108.204 105.664) + (end 96.012 105.664) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "2c95d361-f490-4a19-9a2a-83bd437e3973") + ) + (segment + (start 71.536 106.68) + (end 71.536 97.12) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "331f6ebf-c740-40b8-ac74-d306caa203a2") + ) + (segment + (start 110.744 105.156) + (end 108.712 105.156) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "3fa07be4-c71f-49b4-9bc8-fbededea62d8") + ) + (segment + (start 71.628 96.970315) + (end 71.970315 96.628) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "5970ca02-32b1-48ff-9b82-62ccad00236b") + ) + (segment + (start 108.712 105.156) + (end 108.204 105.664) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "5eb4dfb1-53d0-4175-96db-7e07dc1faaa8") + ) + (segment + (start 94.488 107.188) + (end 88.9 107.188) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "67305bb0-8d86-4eb0-b3ba-3acdf2fec69c") + ) + (segment + (start 88.9 107.188) + (end 86.36 107.188) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "ab3a15aa-ec20-43cc-a90d-76ce478ea637") + ) + (segment + (start 86.36 107.188) + (end 84.836 105.664) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "c1da84fc-1544-49b9-acdc-26bd9ed21484") + ) + (segment + (start 71.628 97.028) + (end 71.628 96.970315) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "d1377195-7aa7-42fe-b496-bf4477c31f6b") + ) + (segment + (start 71.536 97.12) + (end 71.628 97.028) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "df194877-6827-467b-9fe5-6411c28c2f94") + ) + (segment + (start 96.012 105.664) + (end 94.488 107.188) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "e8b567fe-a662-4cb9-9bb5-30ff18db051c") + ) + (segment + (start 82.804 94.996) + (end 80.772 94.996) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "1c9df692-050d-4e8a-9723-e3ef8e10edeb") + ) + (segment + (start 80.264 94.488) + (end 78.232 94.488) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "62573309-7bff-4ea5-97e3-6c1cbdc2524f") + ) + (segment + (start 84.328 102.616) + (end 82.804 101.092) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "85d62926-a779-4a83-8419-f91e661b1f3d") + ) + (segment + (start 82.804 101.092) + (end 82.804 94.996) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "dee7b5a0-b05c-4721-a597-7018d8b29a5b") + ) + (segment + (start 80.772 94.996) + (end 80.264 94.488) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "f8776779-132a-4b4a-911a-4fee67d8b544") + ) + (via + (at 78.232 94.488) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 29) + (uuid "b7082a71-1c19-4911-badf-54665cfcd01e") + ) + (via + (at 84.328 102.616) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 29) + (uuid "e895b94d-c428-4ced-ae58-45a255e0e830") + ) + (segment + (start 68.164 90.332) + (end 71.536 90.332) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "004765ba-8726-4d92-a046-644bc820c601") + ) + (segment + (start 74.168 92.964) + (end 74.168 94.488) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "0362dff5-2e57-48c3-be08-f1c669f7400e") + ) + (segment + (start 92.964 103.632) + (end 91.948 102.616) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "05b13544-b5fc-457f-b0d2-265c6bf861fb") + ) + (segment + (start 71.536 90.332) + (end 71.628 90.424) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "3b5df10e-f908-4646-bc46-d5b9c5bf0bd5") + ) + (segment + (start 76.2 94.488) + (end 77.216 94.488) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "40ef17d0-2e6c-4ede-8224-a2f9c0acabb4") + ) + (segment + (start 107.696 96.012) + (end 107.188 96.012) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "490d4d0c-c56d-4f3e-a099-ced7b7fc2e74") + ) + (segment + (start 91.44 102.108) + (end 90.932 102.108) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "55f055ff-9642-4adf-bbdc-bc73ca5b541d") + ) + (segment + (start 67.564 99.06) + (end 67.564 90.932) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "583f8969-32e9-487c-a2ff-3b1947a16dbb") + ) + (segment + (start 68.072 90.424) + (end 68.164 90.332) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "5e60dc9d-e602-42cd-acd4-0ec3cd2e6d52") + ) + (segment + (start 71.628 90.424) + (end 74.168 92.964) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "7b525096-3793-4070-abe4-f74d319164cb") + ) + (segment + (start 90.932 102.108) + (end 90.84 102.2) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "8539e986-21cb-462c-b7a8-7c1bb5e0c2a8") + ) + (segment + (start 67.564 90.932) + (end 68.072 90.424) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "8bd3b681-f13c-48e6-bc57-0a38256484e8") + ) + (segment + (start 84.836 102.2) + (end 84.744 102.2) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "93d9c17c-7d31-4c7a-8d34-7dfb433ee479") + ) + (segment + (start 77.216 94.488) + (end 78.232 94.488) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "b5a15937-479c-4722-a1e4-133a0a88de7f") + ) + (segment + (start 74.168 94.488) + (end 76.2 94.488) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "c0ba5107-203a-4203-bf41-c948412fb10c") + ) + (segment + (start 107.188 103.632) + (end 92.964 103.632) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "c9123340-b148-4568-a5a7-c8b4e6540400") + ) + (segment + (start 84.744 102.2) + (end 84.328 102.616) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "cc969f3e-9a18-4186-ad0a-20c2bae0a42b") + ) + (segment + (start 90.84 102.2) + (end 84.836 102.2) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "d9543eb6-461d-4a1d-95f2-f37cf13404f8") + ) + (segment + (start 91.948 102.616) + (end 91.44 102.108) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "e509ef56-4ae9-47cd-be7d-6b06c5d63e1b") + ) + (segment + (start 110.744 97.536) + (end 109.22 97.536) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "eae8c02c-342b-43c1-b463-764bcd33e62f") + ) + (segment + (start 107.188 96.012) + (end 107.188 103.632) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "f3c07040-dacf-4eae-bd57-4c525a57904f") + ) + (segment + (start 109.22 97.536) + (end 107.696 96.012) + (width 0.2) + (layer "B.Cu") + (net 29) + (uuid "f61dd04e-98f4-410f-a1c1-adfc6bf0d159") + ) + (segment + (start 80.772 96.52) + (end 80.264 96.52) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "0d0d7ee0-5a26-4e5c-8560-d5522e8e1466") + ) + (segment + (start 84.836 104.648) + (end 83.312 104.648) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "109775e9-fede-4f00-bb0a-99bc9d28cbd0") + ) + (segment + (start 74.076 96.012) + (end 74.168 95.92) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "3ff34ab7-e4f1-44d8-bc3e-ea546921c062") + ) + (segment + (start 67.564 104.14) + (end 69.596 102.108) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "43b4682b-07ce-4d2a-8963-790bebd99962") + ) + (segment + (start 69.596 102.108) + (end 69.596 96.52) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "53d939f7-a3e8-48e0-bc01-29678cc65fd4") + ) + (segment + (start 83.312 104.648) + (end 81.28 102.616) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "57225d67-acd7-483f-8c72-c8639616df99") + ) + (segment + (start 81.28 97.028) + (end 80.772 96.52) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "970ad536-7496-450a-b811-b3118bc4cc43") + ) + (segment + (start 73.152 96.012) + (end 74.076 96.012) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "aa6e78f4-7be2-4c6c-80c0-4b1c6da82f14") + ) + (segment + (start 72.644 96.52) + (end 73.152 96.012) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "c90ebdd7-ca5c-4929-99e5-88d674036801") + ) + (segment + (start 81.28 102.616) + (end 81.28 97.028) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "d17caa15-59aa-4e98-b24f-89dcb7d9e901") + ) + (segment + (start 69.596 96.52) + (end 72.644 96.52) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "e41f3326-67fa-4f2d-b2b4-7de598ead5c4") + ) + (segment + (start 79.664 95.92) + (end 77.216 95.92) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "f1c13ffa-2f55-4781-a53b-27902398358b") + ) + (segment + (start 80.264 96.52) + (end 79.664 95.92) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "fc4a157c-d9fd-4692-9255-12aae2918be2") + ) + (via + (at 84.836 104.648) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 30) + (uuid "3f547f6a-fb2d-4fa6-898e-93b7ede915a6") + ) + (via + (at 77.216 95.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 30) + (uuid "ca36e751-bd59-4118-b088-3f83d7d45393") + ) + (via + (at 74.168 95.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 30) + (uuid "de92dcaf-7529-4839-bcd7-d151902a6cbb") + ) + (segment + (start 93.98 106.68) + (end 89.408 106.68) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "35e7e0b7-1f05-4474-818a-01fcbbbd60b8") + ) + (segment + (start 74.26 96.012) + (end 77.216 96.012) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "3cc894c4-456c-4043-98a3-7b90524c38d5") + ) + (segment + (start 88.9 103.124) + (end 86.36 103.124) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "520ab443-b099-405a-9f25-95f7b285f365") + ) + (segment + (start 109.22 102.616) + (end 108.204 103.632) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "5291e22b-2627-405c-a2cb-ca74ab31b937") + ) + (segment + (start 95.504 104.648) + (end 94.488 105.664) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "56653056-8aa0-453e-bbec-a3ffb397aaf1") + ) + (segment + (start 110.744 102.616) + (end 109.22 102.616) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "703ce9a8-f808-43ed-b606-070f6f22e7fc") + ) + (segment + (start 94.488 106.172) + (end 93.98 106.68) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "962219bf-ef56-4d74-bee9-2c4ecea61e69") + ) + (segment + (start 94.488 105.664) + (end 94.488 106.172) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "a165293d-0702-43dd-8a39-35566945c3e7") + ) + (segment + (start 89.408 103.632) + (end 88.9 103.124) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "af3dbbe6-d454-44cd-be06-ec69aa942846") + ) + (segment + (start 108.204 103.632) + (end 108.204 104.648) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "b3e3072b-f283-4a07-afa0-8c6ab4f8c9c0") + ) + (segment + (start 108.204 104.648) + (end 95.504 104.648) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "b665035a-af0c-4f8b-9fa7-3f3e230dfca9") + ) + (segment + (start 86.36 103.124) + (end 84.836 104.648) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "b7a8d79a-9bdf-4b38-a646-372285751dd8") + ) + (segment + (start 89.408 106.68) + (end 89.408 103.632) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "d696d9fe-9408-440f-8731-c83b84e78e22") + ) + (segment + (start 74.168 95.92) + (end 74.26 96.012) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "dc802bd6-fc83-4387-808c-4212f485d720") + ) + (segment + (start 70.612 94.488) + (end 69.088 94.488) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "1e73580e-cb7d-4a2d-a503-d0681c3e1656") + ) + (segment + (start 71.302213 93.797787) + (end 70.612 94.488) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "324cb8c1-f2d9-4301-a2ec-24955e6ad3e4") + ) + (segment + (start 80.772 95.504) + (end 78.232 95.504) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "638c5a96-7e50-40fc-986c-7fc02da39b17") + ) + (segment + (start 81.28 96.012) + (end 80.772 95.504) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "71b85b9d-fb62-4835-8dfc-045705ed1bd6") + ) + (segment + (start 68.488 101.6) + (end 68.996 101.092) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "8a28f5bc-51cc-41c8-9b0b-19d630ded90c") + ) + (segment + (start 82.296 102.108) + (end 82.296 96.012) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "9251268e-9f31-48cb-81e7-bbcffb109ad4") + ) + (segment + (start 83.82 103.632) + (end 82.296 102.108) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "ac120f11-8c76-459a-b327-3cc272013492") + ) + (segment + (start 84.836 103.632) + (end 83.82 103.632) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "b1606a51-cca8-43c1-a59c-71a6a2e44656") + ) + (segment + (start 77.816 95.088) + (end 77.666313 95.088) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "b170512c-23f8-456a-859b-cbce313ada13") + ) + (segment + (start 67.564 101.6) + (end 68.488 101.6) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "df9411f6-74a8-4343-93d4-95cfb4d54b50") + ) + (segment + (start 71.411999 93.797787) + (end 71.302213 93.797787) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "e29639a9-657c-4da1-a165-81511168bfcd") + ) + (segment + (start 82.296 96.012) + (end 81.28 96.012) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "f1ee34ca-dc55-4f44-ab0d-78236a49977e") + ) + (segment + (start 78.232 95.504) + (end 77.816 95.088) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "f951dca3-70a9-4acf-bc65-c61c28be7e0c") + ) + (via + (at 77.666313 95.088) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "157dd510-55b9-40a8-a3a1-947f07dc3092") + ) + (via + (at 71.411999 93.797787) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "4a408ba1-7766-4709-879f-41d43924655f") + ) + (via + (at 68.996 101.092) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "61d0738f-ebd4-4e56-8ed4-95e21424db90") + ) + (via + (at 84.836 103.632) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "8f544700-8623-4a97-be6f-61a5c989b6b2") + ) + (via + (at 69.088 94.488) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 31) + (uuid "e8d57bc2-dc48-4450-8165-b3dacb27883c") + ) + (segment + (start 93.98 104.14) + (end 93.98 105.664) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "01d53a7a-cfca-4059-844a-af3ba554fa60") + ) + (segment + (start 68.996 101.092) + (end 69.088 101) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "0630e7f7-5537-4a2e-8521-1be5482ab5ec") + ) + (segment + (start 91.44 104.14) + (end 90.932 103.632) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "0bb5af72-ef99-469c-a726-ed1eb2ed2957") + ) + (segment + (start 89.408 102.616) + (end 85.852 102.616) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "0bcc0155-f9e5-4ccc-9ae0-0e1a0b459644") + ) + (segment + (start 110.744 100.076) + (end 108.204 97.536) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "0fd140b8-3864-40f2-bb4b-1a5aa9579900") + ) + (segment + (start 108.204 97.536) + (end 107.588 98.152) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "1d932ae3-c820-48ee-b89b-f214a92d4793") + ) + (segment + (start 107.588 103.797685) + (end 107.245685 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "26fe3890-9a4d-47d3-a2ed-85f313bb75c0") + ) + (segment + (start 85.852 102.616) + (end 84.836 103.632) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "2d871a9c-712e-4366-91a1-54bc6dcb6187") + ) + (segment + (start 107.245685 104.14) + (end 107.188 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "34c49f76-e7f0-49e0-8f84-dfde9fe358cd") + ) + (segment + (start 91.44 106.172) + (end 91.44 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "49f7d487-beb0-4f4e-9d14-d75297e9415b") + ) + (segment + (start 93.98 105.664) + (end 93.472 106.172) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "542660a1-44ad-42fa-87c1-43017a48d95c") + ) + (segment + (start 107.588 102.108) + (end 107.588 103.797685) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "6f3f1a0f-f059-4b73-a713-2711e14eabc6") + ) + (segment + (start 71.411999 93.797787) + (end 72.461787 93.797787) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "72568177-f8f0-4ea9-a8a9-7452a6d3f3bb") + ) + (segment + (start 103.632 104.14) + (end 98.552 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "77d7201a-7153-4d15-896b-269f3fa5beb9") + ) + (segment + (start 73.66 94.996) + (end 77.724 94.996) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "7ad23709-281c-4a9c-aae4-2f1b97fcfa47") + ) + (segment + (start 89.916 102.616) + (end 89.408 102.616) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "7f9457fa-f8ba-4c0f-a8a8-e16ca65e8f7d") + ) + (segment + (start 107.588 98.152) + (end 107.588 102.108) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "870ed283-fa0d-44af-ba1a-18a9f7d420b1") + ) + (segment + (start 107.188 104.14) + (end 103.632 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "8ead632f-24d2-4a3d-9cd4-c8dde62c4820") + ) + (segment + (start 90.932 103.632) + (end 89.916 102.616) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "9c62f6ce-8da0-4582-9ea5-f2602b78aca1") + ) + (segment + (start 98.552 104.14) + (end 93.98 104.14) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "c24044ec-760d-48a2-bfd8-d94f043e8134") + ) + (segment + (start 72.461787 93.797787) + (end 73.66 94.996) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "e56012bc-a7b6-4cad-b9d3-507f69fc4899") + ) + (segment + (start 93.472 106.172) + (end 91.44 106.172) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "e8155a1c-6de4-4ef9-822d-5c9c59892b00") + ) + (segment + (start 69.088 101) + (end 69.088 94.488) + (width 0.2) + (layer "B.Cu") + (net 31) + (uuid "fedb05ee-4b51-4ada-90b8-6b9aa1fad410") + ) + (segment + (start 79.756 125.476) + (end 80.772 126.492) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "3dd45d13-0156-492b-b7cf-47306be2e261") + ) + (segment + (start 81.788 126.492) + (end 82.296 126.492) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "44701361-1c6c-4320-8996-caa1d71e5f1e") + ) + (segment + (start 80.772 126.492) + (end 81.788 126.492) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "8b95e534-3431-44ed-ae8a-ea7397bdd735") + ) + (segment + (start 82.296 126.492) + (end 82.804 125.984) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "c2fbef80-1f32-48eb-82e0-3720eea69f16") + ) + (via + (at 79.756 125.476) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 32) + (uuid "3a27548e-8471-4c80-8bff-5f53d20a7a29") + ) + (via + (at 82.804 125.984) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 32) + (uuid "ab79c9aa-3ced-4ee4-97b1-fb5bf399d902") + ) + (segment + (start 108.712 125.984) + (end 108.712 127) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "20cac408-3d70-482d-aec4-08d003064b44") + ) + (segment + (start 85.852 125.476) + (end 108.204 125.476) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "32d25589-bdbf-4de2-9d9c-0033abd19803") + ) + (segment + (start 82.804 125.984) + (end 85.344 125.984) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "6542bfe8-5465-4b90-8573-345897a5b6bf") + ) + (segment + (start 108.951 127.239) + (end 110.744 127.239) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "a9d053fa-2019-42ab-b8a9-8dd291c5f771") + ) + (segment + (start 85.344 125.984) + (end 85.852 125.476) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "af3a9b1b-088e-469e-91d3-b153a7d64f3a") + ) + (segment + (start 108.712 127) + (end 108.951 127.239) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "b9b0c11a-0796-4723-905c-1a8cc9675fde") + ) + (segment + (start 75.184 124.46) + (end 78.74 124.46) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "d0566bb4-71dd-454d-b3cb-852b5aa15a7b") + ) + (segment + (start 108.204 125.476) + (end 108.712 125.984) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "e2a9ed96-9754-4186-a53f-d01b4ae10dce") + ) + (segment + (start 78.74 124.46) + (end 79.756 125.476) + (width 0.2) + (layer "B.Cu") + (net 32) + (uuid "fd26ce70-aa2a-4d1d-85b2-07dbe008b20b") + ) + (segment + (start 82.388 111.852) + (end 85.852 111.852) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "17182179-2ae1-424e-885e-444e8e585f47") + ) + (segment + (start 82.296 111.76) + (end 82.388 111.852) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "4c24ff21-f334-45e2-8f2e-dc681da549ef") + ) + (segment + (start 78.232 102.616) + (end 81.28 105.664) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "55255d66-0824-452a-8b10-a0db771b28c6") + ) + (segment + (start 75.184 104.14) + (end 76.2 103.124) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "56ca7ee5-79d8-4c27-9d8f-20c84148cd18") + ) + (segment + (start 81.788 106.68) + (end 81.788 107.696) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "7294fe91-cf57-4a98-945c-62c9cb1ad023") + ) + (segment + (start 76.2 103.124) + (end 76.708 103.124) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "850db82d-252e-445b-8a15-d8beb607cd9a") + ) + (segment + (start 81.28 106.172) + (end 81.788 106.68) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "a38825b8-3a3e-4cb1-b438-21a2f99ddd01") + ) + (segment + (start 76.708 103.124) + (end 77.724 103.124) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "aeb47649-588b-4b0e-a306-8bf78072e6e7") + ) + (segment + (start 77.724 103.124) + (end 78.232 102.616) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "bcc58fa7-db72-4c3f-bb65-aec94069bbf1") + ) + (segment + (start 81.28 105.664) + (end 81.28 106.172) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "d91c3182-1e12-4264-a7d3-5edacd89d75e") + ) + (via + (at 81.788 107.696) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 33) + (uuid "2538fba9-9ea6-46df-b47c-fba645c18df1") + ) + (via + (at 82.296 111.76) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 33) + (uuid "2e6b20bc-0ced-4cf9-b3ca-259b7d64f65d") + ) + (via + (at 85.852 111.852) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 33) + (uuid "97504132-5907-437a-b43c-900f6940c04d") + ) + (segment + (start 88.392 112.776) + (end 107.188 112.776) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "262409a1-e13e-42c8-b14c-4826c867f2ce") + ) + (segment + (start 81.788 107.696) + (end 81.822 107.73) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "387852b4-e732-4b04-8c51-dc1a712eebf9") + ) + (segment + (start 82.296 111.252) + (end 82.296 111.76) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "5b2f65a7-859c-44d6-8d0c-67aff35a1031") + ) + (segment + (start 107.188 112.776) + (end 107.188 113.523) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "64cdfb63-3c47-4131-9c19-0391c32f575f") + ) + (segment + (start 81.822 110.778) + (end 82.296 111.252) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "6ea50daa-9d85-4fa8-b390-460995ad4dfb") + ) + (segment + (start 107.188 113.523) + (end 110.744 117.079) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "902756e4-71a8-4fa3-8832-1662d953eb92") + ) + (segment + (start 85.852 111.852) + (end 87.468 111.852) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "9b991290-75c1-4384-9f31-ade052029d41") + ) + (segment + (start 87.468 111.852) + (end 88.392 112.776) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "b86b8cad-b437-4efb-90d8-d6b6c86bbf26") + ) + (segment + (start 81.822 107.73) + (end 81.822 110.778) + (width 0.2) + (layer "B.Cu") + (net 33) + (uuid "f2d7b02d-10ca-4e7b-a8d4-c7644227d8a6") + ) + (segment + (start 82.296 112.776) + (end 82.296 113.59) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "44e01cda-75a9-4d1f-b6fd-f9bc1c882309") + ) + (segment + (start 82.712 116.84) + (end 84.928 116.84) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "5d7a3b1d-9ecb-4e7a-8062-d7e55d70c87c") + ) + (segment + (start 75.184 106.68) + (end 77.216 104.648) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "79b42394-37d8-4a72-8e66-36088f68e241") + ) + (segment + (start 77.216 104.648) + (end 79.248 104.648) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "7da9c5d1-1104-4949-8b3e-d1924a8edf57") + ) + (segment + (start 79.248 104.648) + (end 80.772 106.172) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "ad5521f1-6892-407c-a73f-e2f2d55f1a84") + ) + (segment + (start 80.772 106.172) + (end 80.772 107.188) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "f449fe63-f7d5-4fbc-b75b-2cd445ac71c8") + ) + (via + (at 82.296 112.776) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "0f9d8623-5836-4979-a7ec-e0be9953664b") + ) + (via + (at 80.772 107.188) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "10c2b0be-b421-4481-bbef-c0dbbaedd23a") + ) + (via + (at 82.712 116.84) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "95fdb6ad-8e09-43e7-a072-d681149c839b") + ) + (via + (at 84.928 116.84) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "aa1e4564-11b6-44b3-86a4-c20489e2bae1") + ) + (via + (at 82.296 112.776) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "c8bacc7c-a809-4ac5-acfc-9d1e0e951545") + ) + (via + (at 82.296 113.59) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 34) + (uuid "cc71ff9e-7843-4789-9a33-43b82aff53db") + ) + (segment + (start 80.772 107.188) + (end 79.756 108.204) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "3dce8011-2f06-4623-85b3-92180aa35e8f") + ) + (segment + (start 79.756 108.204) + (end 79.248 108.204) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "4d3346bb-76a1-413c-87cb-ef121a7da7c8") + ) + (segment + (start 84.928 116.84) + (end 107.965 116.84) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "6918d29b-9c1c-42dc-92aa-2030eefd3175") + ) + (segment + (start 107.965 116.84) + (end 110.744 119.619) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "70fd5b73-8ddf-431b-8494-931c86658ecc") + ) + (segment + (start 82.712 116.748) + (end 82.712 116.84) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "baecf4d0-3221-4235-b080-232d073e9570") + ) + (segment + (start 82.296 116.332) + (end 82.712 116.748) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "bd9aa512-2d1c-450d-b602-af8b091bca92") + ) + (segment + (start 82.296 113.59) + (end 82.296 116.332) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "f11c3bec-33db-404f-9b5f-d2571af4c6e7") + ) + (segment + (start 79.248 108.204) + (end 79.248 109.728) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "f28d6667-dbeb-445c-95f8-c9cc3f342722") + ) + (segment + (start 79.248 109.728) + (end 82.296 112.776) + (width 0.2) + (layer "B.Cu") + (net 34) + (uuid "fbcfbfe9-8ba9-4f6a-908c-906306442e53") + ) + (segment + (start 85.562 111.252) + (end 83.22 111.252) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "44b5f0cc-4e29-44bd-a700-97b9f2cab1b0") + ) + (segment + (start 84.928 111.252) + (end 83.82 111.252) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "66892172-bf7d-432f-9dc4-f1b0b7339aa0") + ) + (segment + (start 83.82 111.252) + (end 85.562 111.252) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "de7a4122-355d-48cd-8631-283a354bfc71") + ) + (segment + (start 110.744 114.539) + (end 107.965 111.76) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "e94a75a8-8c9b-4566-855d-62edf70e6204") + ) + (segment + (start 83.22 111.252) + (end 82.712 110.744) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "f97efb61-4b27-490f-870b-6bb2d0efc37b") + ) + (segment + (start 107.965 111.76) + (end 90.932 111.76) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "fc470b8d-c7b9-42d4-979f-a79ae34f5c7b") + ) + (via + (at 90.932 111.76) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 35) + (uuid "1df5bd27-92d9-4c4a-b36d-692eb9cea506") + ) + (via + (at 84.928 111.252) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 35) + (uuid "394fdc44-3956-43c5-8f05-974a79d6276c") + ) + (via + (at 82.712 110.744) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 35) + (uuid "97a2f57f-2415-4a94-8e12-95228c827da7") + ) + (segment + (start 77.216 101.6) + (end 75.184 101.6) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "045dcac6-d314-4686-810f-72c28cba0f85") + ) + (segment + (start 82.712 110.744) + (end 82.712 107.604) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "160214a7-b431-426a-b25e-3f3364537744") + ) + (segment + (start 81.28 106.172) + (end 81.28 105.156) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "1aab45f5-ec40-418a-b487-7134328cb101") + ) + (segment + (start 90.932 111.76) + (end 88.392 111.76) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "2103335a-8dcc-4e31-a23e-96c3619573ad") + ) + (segment + (start 87.884 111.252) + (end 84.928 111.252) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "6a53f7ac-cadc-47ed-896f-7e392c3dd886") + ) + (segment + (start 78.232 102.616) + (end 77.216 101.6) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "7eb0d164-bdd7-4dd6-afa6-1e4d42ab0475") + ) + (segment + (start 88.392 111.76) + (end 87.884 111.252) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "96615bd1-61d4-48a5-8514-c92c6f676b0f") + ) + (segment + (start 78.74 102.616) + (end 78.232 102.616) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "aa26a08d-f78b-46c1-a12d-4bc390d7b48d") + ) + (segment + (start 81.28 105.156) + (end 78.74 102.616) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "b37a767d-e8dd-45fb-a117-5fa45bb350c4") + ) + (segment + (start 82.712 107.604) + (end 81.28 106.172) + (width 0.2) + (layer "B.Cu") + (net 35) + (uuid "ec706fb4-f223-4dcc-84ee-48bea18e0ef1") + ) + (segment + (start 86.868 118.364) + (end 86.530265 118.026265) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "0b2dc47f-58d8-4560-b640-e9e3902b9005") + ) + (segment + (start 107.188 118.603) + (end 107.188 118.364) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "1ffbd252-abef-4d72-879e-4bd8ca6f184c") + ) + (segment + (start 110.744 122.159) + (end 107.188 118.603) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "76b9711e-d546-440b-a83c-636904d40082") + ) + (segment + (start 82.804 119.888) + (end 82.296 120.396) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "d361e141-918a-4b4a-b73f-542d7f2ccdf3") + ) + (segment + (start 107.188 118.364) + (end 86.868 118.364) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "d772c874-fc1f-4463-b7c8-445fd444e3fc") + ) + (segment + (start 84.836 117.856) + (end 82.804 119.888) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "dd234791-c96d-4c05-b2e3-6d17548cc4ff") + ) + (segment + (start 84.928 117.856) + (end 84.836 117.856) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "e6943ab2-5e6e-4034-aaa7-0e51377d7717") + ) + (segment + (start 82.296 120.396) + (end 81.788 120.396) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "ff5eb170-5922-4805-84f2-d644530b23d5") + ) + (via + (at 86.530265 118.026265) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 36) + (uuid "58c2153b-cd82-44a3-9d87-67d164a88289") + ) + (via + (at 84.928 117.856) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 36) + (uuid "6102fc94-349d-4466-ba50-3223a1c82de5") + ) + (via + (at 81.788 120.396) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 36) + (uuid "a6972dbf-dd11-473b-b3aa-269f0cdd8f95") + ) + (segment + (start 86.36 117.856) + (end 84.928 117.856) + (width 0.2) + (layer "B.Cu") + (net 36) + (uuid "3703f13f-9d45-4488-9680-bf374c9b56aa") + ) + (segment + (start 81.788 120.396) + (end 77.724 120.396) + (width 0.2) + (layer "B.Cu") + (net 36) + (uuid "69209a50-4602-4e21-8030-df61570ce7bc") + ) + (segment + (start 77.724 120.396) + (end 76.708 119.38) + (width 0.2) + (layer "B.Cu") + (net 36) + (uuid "a4fa8c8b-8d9b-4ee7-8153-c51c8abababe") + ) + (segment + (start 76.708 119.38) + (end 75.184 119.38) + (width 0.2) + (layer "B.Cu") + (net 36) + (uuid "aea5f67e-57e4-452e-8e4e-95b18a597ffd") + ) + (segment + (start 86.530265 118.026265) + (end 86.36 117.856) + (width 0.2) + (layer "B.Cu") + (net 36) + (uuid "dcae9f38-ea5d-4c17-b742-c2e68fdbc1f7") + ) + (segment + (start 78.232 122.936) + (end 79.248 123.952) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "47d333a7-b8d0-475a-a6b7-9f8e6465f1e3") + ) + (segment + (start 77.216 121.92) + (end 78.232 122.936) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "47f2bc81-51c7-4e2a-84d8-72139e4c9c5b") + ) + (segment + (start 75.184 121.92) + (end 77.216 121.92) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "aca9c199-a506-457a-bc86-27d667e82ee5") + ) + (segment + (start 81.28 123.952) + (end 84.436 123.952) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "f4632b0e-764e-4671-a73e-a3e14689a027") + ) + (via + (at 84.236 123.952) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 37) + (uuid "0dcca76f-5d66-4574-a3a0-f26d8cec70b2") + ) + (via + (at 81.28 123.952) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 37) + (uuid "399e3b90-8b68-41ae-a022-97d78ad4155b") + ) + (via + (at 79.248 123.952) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 37) + (uuid "f61c73a6-1d99-4b06-8b63-78ff3ae3a375") + ) + (segment + (start 84.236 123.952) + (end 84.983 124.699) + (width 0.2) + (layer "B.Cu") + (net 37) + (uuid "10be7fb0-5eae-4d51-8f83-e7db824a622a") + ) + (segment + (start 79.248 123.952) + (end 81.28 123.952) + (width 0.2) + (layer "B.Cu") + (net 37) + (uuid "5645ed6e-3a19-4ab7-b53f-466eecb6ea64") + ) + (segment + (start 84.983 124.699) + (end 110.744 124.699) + (width 0.2) + (layer "B.Cu") + (net 37) + (uuid "c46a9417-786e-4d30-b70d-2b6bd50d7bf5") + ) + (segment + (start 88.965 92.329) + (end 91.059 92.329) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "4b21e850-89e2-44fd-9f40-ef87d745611f") + ) + (segment + (start 91.059 92.329) + (end 91.948 91.44) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "5926c34a-ee94-4261-9108-51821b4b778a") + ) + (segment + (start 104.882 80.4475) + (end 106.646187 80.4475) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "a9fc2513-3aec-4aa2-a532-5529fdd84706") + ) + (segment + (start 106.646187 80.4475) + (end 107.788 79.305687) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "d784626b-8050-4d91-8084-820cc9872364") + ) + (via + (at 107.788 79.305687) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 38) + (uuid "a992ceaf-2c37-4d41-a220-8308016c33d8") + ) + (via + (at 91.948 91.44) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 38) + (uuid "bf0d42e8-3c24-4a05-a5aa-f0e6bfaf947b") + ) + (segment + (start 108.204 79.721687) + (end 108.204 88.9) + (width 0.2) + (layer "B.Cu") + (net 38) + (uuid "2ad93532-5c9e-4218-99c8-58d22dc855ab") + ) + (segment + (start 107.788 79.305687) + (end 108.204 79.721687) + (width 0.2) + (layer "B.Cu") + (net 38) + (uuid "93b03ce7-c7b5-4c9f-865e-fabe3d4c17d1") + ) + (segment + (start 108.204 88.9) + (end 105.664 91.44) + (width 0.2) + (layer "B.Cu") + (net 38) + (uuid "9a29c28b-6358-409c-af48-7a453ca18517") + ) + (segment + (start 105.664 91.44) + (end 91.948 91.44) + (width 0.2) + (layer "B.Cu") + (net 38) + (uuid "c56b38e6-cc64-4955-a829-19794f657064") + ) + (segment + (start 106.8955 78.4475) + (end 107.188 78.74) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "897010ae-7eef-467f-9505-9829f1aafe16") + ) + (segment + (start 91.44 90.424) + (end 90.805 91.059) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "d02bb2e1-fb03-41f5-8582-9e4c92cbc91f") + ) + (segment + (start 104.882 78.4475) + (end 106.8955 78.4475) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "e64a6c95-fa17-48db-b6da-31164f2d2126") + ) + (segment + (start 90.805 91.059) + (end 88.965 91.059) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "e6de45cc-b739-44d2-acf5-f6be87b9a20c") + ) + (via + (at 91.44 90.424) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 39) + (uuid "3d8b1791-1c26-4606-b709-1aa505cc6c8f") + ) + (via + (at 107.188 78.74) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 39) + (uuid "5002992d-0137-453f-8e49-1bd8c04fd3a0") + ) + (segment + (start 107.188 78.74) + (end 107.188 88.392) + (width 0.2) + (layer "B.Cu") + (net 39) + (uuid "34a12ece-7c08-4495-8997-a8f14a5cf009") + ) + (segment + (start 105.156 90.424) + (end 91.44 90.424) + (width 0.2) + (layer "B.Cu") + (net 39) + (uuid "78fb7ead-0f99-4205-9084-c27334732d9a") + ) + (segment + (start 107.188 88.392) + (end 105.156 90.424) + (width 0.2) + (layer "B.Cu") + (net 39) + (uuid "79d59387-ff2c-40e5-9cbc-a7738da77f69") + ) + (zone + (net 2) + (net_name "GND") + (layer "F.Cu") + (uuid "479c52e3-ba45-445d-a99d-c7cdbf0bb575") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 126.5 61) (xy 43.5 61) (xy 43.5 145.25) (xy 48.75 150.5) (xy 122.75 150.5) (xy 126.5 146.75) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 111.778539 64.528185) (xy 111.824294 64.580989) (xy 111.8355 64.6325) (xy 111.8355 73.984614) + (xy 111.815815 74.051653) (xy 111.763011 74.097408) (xy 111.693853 74.107352) (xy 111.630297 74.078327) + (xy 111.615957 74.063655) (xy 111.567712 74.005338) (xy 110.604085 74.968965) (xy 110.509171 74.838325) + (xy 110.381175 74.710329) (xy 110.250533 74.615413) (xy 111.217027 73.648919) (xy 111.217026 73.648917) + (xy 110.974227 73.472514) (xy 110.974209 73.472503) (xy 110.698552 73.320959) (xy 110.698544 73.320955) + (xy 110.406073 73.205159) (xy 110.101379 73.126926) (xy 110.10137 73.126924) (xy 109.789298 73.0875) + (xy 109.474701 73.0875) (xy 109.162629 73.126924) (xy 109.16262 73.126926) (xy 108.857926 73.205159) + (xy 108.565455 73.320955) (xy 108.565447 73.320959) (xy 108.289787 73.472504) (xy 108.289782 73.472507) + (xy 108.046972 73.648918) (xy 108.046971 73.648919) (xy 109.013466 74.615413) (xy 108.882825 74.710329) + (xy 108.754829 74.838325) (xy 108.659913 74.968965) (xy 107.696286 74.005338) (xy 107.696285 74.005338) + (xy 107.605459 74.115129) (xy 107.605457 74.115132) (xy 107.436903 74.380732) (xy 107.4369 74.380738) + (xy 107.302965 74.665362) (xy 107.302963 74.665367) (xy 107.205755 74.964541) (xy 107.146808 75.27355) + (xy 107.146807 75.273557) (xy 107.127057 75.587494) (xy 107.127057 75.587505) (xy 107.146807 75.901442) + (xy 107.146808 75.901449) (xy 107.205755 76.210458) (xy 107.302963 76.509632) (xy 107.302965 76.509637) + (xy 107.4369 76.794261) (xy 107.436903 76.794267) (xy 107.605457 77.059867) (xy 107.60546 77.059871) + (xy 107.696286 77.16966) (xy 108.659913 76.206033) (xy 108.754829 76.336675) (xy 108.882825 76.464671) + (xy 109.013465 76.559586) (xy 108.046971 77.526079) (xy 108.046972 77.526081) (xy 108.289772 77.702485) + (xy 108.28979 77.702496) (xy 108.565447 77.85404) (xy 108.565455 77.854044) (xy 108.857926 77.96984) + (xy 109.16262 78.048073) (xy 109.162629 78.048075) (xy 109.474701 78.087499) (xy 109.474715 78.0875) + (xy 109.789285 78.0875) (xy 109.789298 78.087499) (xy 110.10137 78.048075) (xy 110.101379 78.048073) + (xy 110.406073 77.96984) (xy 110.698544 77.854044) (xy 110.698552 77.85404) (xy 110.974209 77.702496) + (xy 110.974219 77.70249) (xy 111.217026 77.526079) (xy 111.217027 77.526079) (xy 110.250533 76.559586) + (xy 110.381175 76.464671) (xy 110.509171 76.336675) (xy 110.604086 76.206034) (xy 111.567712 77.16966) + (xy 111.615956 77.111344) (xy 111.673856 77.072236) (xy 111.743707 77.07064) (xy 111.803333 77.107061) + (xy 111.833803 77.169937) (xy 111.8355 77.190384) (xy 111.8355 93.528271) (xy 111.815815 93.59531) + (xy 111.763011 93.641065) (xy 111.698246 93.651561) (xy 111.641873 93.6455) (xy 111.641865 93.6455) + (xy 109.846129 93.6455) (xy 109.846123 93.645501) (xy 109.786516 93.651908) (xy 109.651671 93.702202) + (xy 109.651664 93.702206) (xy 109.536455 93.788452) (xy 109.536452 93.788455) (xy 109.450206 93.903664) + (xy 109.450202 93.903671) (xy 109.399908 94.038517) (xy 109.393501 94.098116) (xy 109.3935 94.098135) + (xy 109.3935 95.89387) (xy 109.393501 95.893876) (xy 109.399908 95.953483) (xy 109.450202 96.088328) + (xy 109.450206 96.088335) (xy 109.536452 96.203544) (xy 109.536455 96.203547) (xy 109.651664 96.289793) + (xy 109.651671 96.289797) (xy 109.783081 96.33881) (xy 109.839015 96.380681) (xy 109.863432 96.446145) + (xy 109.84858 96.514418) (xy 109.82743 96.542673) (xy 109.705503 96.6646) (xy 109.569965 96.858169) + (xy 109.569964 96.858171) (xy 109.488786 97.032259) (xy 109.473039 97.066029) (xy 109.470098 97.072335) + (xy 109.470094 97.072344) (xy 109.408938 97.300586) (xy 109.408936 97.300596) (xy 109.388341 97.535999) + (xy 109.388341 97.536) (xy 109.408936 97.771403) (xy 109.408938 97.771413) (xy 109.470094 97.999655) + (xy 109.470096 97.999659) (xy 109.470097 97.999663) (xy 109.545717 98.16183) (xy 109.569965 98.21383) + (xy 109.569967 98.213834) (xy 109.642723 98.317739) (xy 109.70541 98.407266) (xy 109.705501 98.407395) + (xy 109.705506 98.407402) (xy 109.872597 98.574493) (xy 109.872603 98.574498) (xy 110.058158 98.704425) + (xy 110.101783 98.759002) (xy 110.108977 98.8285) (xy 110.077454 98.890855) (xy 110.058158 98.907575) + (xy 109.872597 99.037505) (xy 109.705505 99.204597) (xy 109.569965 99.398169) (xy 109.569964 99.398171) + (xy 109.470098 99.612335) (xy 109.470094 99.612344) (xy 109.408938 99.840586) (xy 109.408936 99.840596) + (xy 109.388341 100.075999) (xy 109.388341 100.076) (xy 109.408936 100.311403) (xy 109.408938 100.311413) + (xy 109.470094 100.539655) (xy 109.470096 100.539659) (xy 109.470097 100.539663) (xy 109.555537 100.72289) + (xy 109.569965 100.75383) (xy 109.569967 100.753834) (xy 109.678281 100.908521) (xy 109.70541 100.947266) + (xy 109.705501 100.947395) (xy 109.705506 100.947402) (xy 109.872597 101.114493) (xy 109.872603 101.114498) + (xy 110.058158 101.244425) (xy 110.101783 101.299002) (xy 110.108977 101.3685) (xy 110.077454 101.430855) + (xy 110.058158 101.447575) (xy 109.872597 101.577505) (xy 109.705505 101.744597) (xy 109.569965 101.938169) + (xy 109.569964 101.938171) (xy 109.470098 102.152335) (xy 109.470094 102.152344) (xy 109.408938 102.380586) + (xy 109.408936 102.380596) (xy 109.388341 102.615999) (xy 109.388341 102.616) (xy 109.408936 102.851403) + (xy 109.408938 102.851413) (xy 109.470094 103.079655) (xy 109.470096 103.079659) (xy 109.470097 103.079663) + (xy 109.510692 103.166719) (xy 109.569965 103.29383) (xy 109.569967 103.293834) (xy 109.67079 103.437823) + (xy 109.70541 103.487266) (xy 109.705501 103.487395) (xy 109.705506 103.487402) (xy 109.872597 103.654493) + (xy 109.872603 103.654498) (xy 110.058158 103.784425) (xy 110.101783 103.839002) (xy 110.108977 103.9085) + (xy 110.077454 103.970855) (xy 110.058158 103.987575) (xy 109.872597 104.117505) (xy 109.705505 104.284597) + (xy 109.569965 104.478169) (xy 109.569964 104.478171) (xy 109.470098 104.692335) (xy 109.470094 104.692344) + (xy 109.408938 104.920586) (xy 109.408936 104.920596) (xy 109.388341 105.155999) (xy 109.388341 105.156) + (xy 109.408936 105.391403) (xy 109.408938 105.391413) (xy 109.470094 105.619655) (xy 109.470096 105.619659) + (xy 109.470097 105.619663) (xy 109.55762 105.807356) (xy 109.569965 105.83383) (xy 109.569967 105.833834) + (xy 109.612539 105.894632) (xy 109.70541 106.027266) (xy 109.705501 106.027395) (xy 109.705506 106.027402) + (xy 109.872597 106.194493) (xy 109.872603 106.194498) (xy 110.058158 106.324425) (xy 110.101783 106.379002) + (xy 110.108977 106.4485) (xy 110.077454 106.510855) (xy 110.058158 106.527575) (xy 109.872597 106.657505) + (xy 109.705505 106.824597) (xy 109.569965 107.018169) (xy 109.569964 107.018171) (xy 109.470098 107.232335) + (xy 109.470094 107.232344) (xy 109.408938 107.460586) (xy 109.408936 107.460596) (xy 109.388341 107.695999) + (xy 109.388341 107.696) (xy 109.408936 107.931403) (xy 109.408938 107.931413) (xy 109.470094 108.159655) + (xy 109.470096 108.159659) (xy 109.470097 108.159663) (xy 109.499584 108.222898) (xy 109.569965 108.37383) + (xy 109.569967 108.373834) (xy 109.632588 108.463265) (xy 109.705505 108.567401) (xy 109.872599 108.734495) + (xy 109.872601 108.734496) (xy 109.872603 108.734498) (xy 109.953375 108.791055) (xy 110.000689 108.824185) + (xy 110.058594 108.86473) (xy 110.102219 108.919307) (xy 110.109413 108.988805) (xy 110.07789 109.05116) + (xy 110.058595 109.06788) (xy 109.872922 109.19789) (xy 109.87292 109.197891) (xy 109.705891 109.36492) + (xy 109.705886 109.364926) (xy 109.5704 109.55842) (xy 109.570399 109.558422) (xy 109.47057 109.772507) + (xy 109.470567 109.772513) (xy 109.413364 109.985999) (xy 109.413364 109.986) (xy 110.310988 109.986) + (xy 110.278075 110.043007) (xy 110.244 110.170174) (xy 110.244 110.301826) (xy 110.278075 110.428993) + (xy 110.310988 110.486) (xy 109.413364 110.486) (xy 109.470567 110.699486) (xy 109.47057 110.699492) + (xy 109.570399 110.913578) (xy 109.705894 111.107082) (xy 109.872917 111.274105) (xy 110.066421 111.4096) + (xy 110.280507 111.509429) (xy 110.280516 111.509433) (xy 110.494 111.566634) (xy 110.494 110.669012) + (xy 110.551007 110.701925) (xy 110.678174 110.736) (xy 110.809826 110.736) (xy 110.936993 110.701925) + (xy 110.994 110.669012) (xy 110.994 111.566633) (xy 111.207483 111.509433) (xy 111.207492 111.509429) + (xy 111.421578 111.4096) (xy 111.615082 111.274105) (xy 111.623819 111.265369) (xy 111.685142 111.231884) + (xy 111.754834 111.236868) (xy 111.810767 111.27874) (xy 111.835184 111.344204) (xy 111.8355 111.35305) + (xy 111.8355 113.421242) (xy 111.815815 113.488281) (xy 111.763011 113.534036) (xy 111.693853 113.54398) + (xy 111.630297 113.514955) (xy 111.623819 113.508923) (xy 111.615402 113.500506) (xy 111.615395 113.500501) + (xy 111.613965 113.4995) (xy 111.487211 113.410745) (xy 111.421834 113.364967) (xy 111.42183 113.364965) + (xy 111.402984 113.356177) (xy 111.207663 113.265097) (xy 111.207659 113.265096) (xy 111.207655 113.265094) + (xy 110.979413 113.203938) (xy 110.979403 113.203936) (xy 110.744001 113.183341) (xy 110.743999 113.183341) + (xy 110.508596 113.203936) (xy 110.508583 113.203939) (xy 110.380241 113.238327) (xy 110.310392 113.236664) + (xy 110.260468 113.206233) (xy 108.45259 111.398355) (xy 108.452588 111.398352) (xy 108.333717 111.279481) + (xy 108.333709 111.279475) (xy 108.231936 111.220717) (xy 108.231934 111.220716) (xy 108.19679 111.200425) + (xy 108.196789 111.200424) (xy 108.184263 111.197067) (xy 108.044057 111.159499) (xy 107.885943 111.159499) + (xy 107.878347 111.159499) (xy 107.878331 111.1595) (xy 91.514412 111.1595) (xy 91.447373 111.139815) + (xy 91.437097 111.132445) (xy 91.434263 111.130185) (xy 91.434262 111.130184) (xy 91.349692 111.077045) + (xy 91.281521 111.03421) (xy 91.246668 111.022015) (xy 91.189892 110.981293) (xy 91.164144 110.916341) + (xy 91.1776 110.847779) (xy 91.199938 110.817296) (xy 93.176416 108.840819) (xy 93.237739 108.807334) + (xy 93.264097 108.8045) (xy 93.893331 108.8045) (xy 93.893347 108.804501) (xy 93.900943 108.804501) + (xy 94.059054 108.804501) (xy 94.059057 108.804501) (xy 94.211785 108.763577) (xy 94.211787 108.763575) + (xy 94.211789 108.763575) (xy 94.21179 108.763574) (xy 94.262155 108.734496) (xy 94.262156 108.734495) + (xy 94.348716 108.68452) (xy 94.46052 108.572716) (xy 94.46052 108.572714) (xy 94.470724 108.562511) + (xy 94.470728 108.562506) (xy 94.846506 108.186728) (xy 94.846511 108.186724) (xy 94.856714 108.17652) + (xy 94.856716 108.17652) (xy 94.96852 108.064716) (xy 95.047577 107.927784) (xy 95.0885 107.775057) + (xy 95.0885 98.626412) (xy 95.108185 98.559373) (xy 95.115555 98.549097) (xy 95.11781 98.546267) + (xy 95.117816 98.546262) (xy 95.213789 98.393522) (xy 95.273368 98.223255) (xy 95.273638 98.220858) + (xy 95.293565 98.044003) (xy 95.293565 98.043996) (xy 95.273369 97.86475) (xy 95.273368 97.864745) + (xy 95.213788 97.694475) (xy 95.161005 97.610473) (xy 95.142004 97.543236) (xy 95.162371 97.476401) + (xy 95.215639 97.431186) (xy 95.265998 97.4205) (xy 95.592693 97.4205) (xy 95.663752 97.406365) + (xy 95.766666 97.385894) (xy 95.766668 97.385892) (xy 95.770921 97.385047) (xy 95.840513 97.391274) + (xy 95.89569 97.434137) (xy 95.918935 97.500026) (xy 95.918555 97.515828) (xy 95.918661 97.515834) + (xy 95.9185 97.518996) (xy 95.9185 98.569001) (xy 95.918501 98.569019) (xy 95.929 98.671796) (xy 95.929001 98.671799) + (xy 95.97835 98.820723) (xy 95.984186 98.838334) (xy 96.076288 98.987656) (xy 96.07629 98.987658) + (xy 96.079266 98.991422) (xy 96.105407 99.056217) (xy 96.106 99.068333) (xy 96.106 99.198196) (xy 96.140603 99.372158) + (xy 96.140605 99.372166) (xy 96.167965 99.438218) (xy 96.167965 99.438219) (xy 96.208483 99.53604) + (xy 96.208487 99.536047) (xy 96.248199 99.59548) (xy 96.248199 99.595481) (xy 96.307032 99.683531) + (xy 96.307038 99.683539) (xy 97.570681 100.947181) (xy 97.604166 101.008504) (xy 97.607 101.034862) + (xy 97.607 101.324854) (xy 97.614675 101.373313) (xy 97.642778 101.550746) (xy 97.642778 101.550749) + (xy 97.71345 101.768255) (xy 97.807591 101.953017) (xy 97.817283 101.972038) (xy 97.951714 102.157066) + (xy 98.113434 102.318786) (xy 98.298462 102.453217) (xy 98.488751 102.550174) (xy 98.502244 102.557049) + (xy 98.719751 102.627721) (xy 98.719752 102.627721) (xy 98.719755 102.627722) (xy 98.945646 102.6635) + (xy 98.945647 102.6635) (xy 99.174353 102.6635) (xy 99.174354 102.6635) (xy 99.400245 102.627722) + (xy 99.400248 102.627721) (xy 99.400249 102.627721) (xy 99.617755 102.557049) (xy 99.617755 102.557048) + (xy 99.617758 102.557048) (xy 99.821538 102.453217) (xy 100.006566 102.318786) (xy 100.168286 102.157066) + (xy 100.229992 102.072134) (xy 100.285319 102.02947) (xy 100.354932 102.023491) (xy 100.416727 102.056096) + (xy 100.430626 102.072135) (xy 100.492097 102.156741) (xy 100.492097 102.156742) (xy 100.653757 102.318402) + (xy 100.838723 102.452788) (xy 101.042429 102.556582) (xy 101.259871 102.627234) (xy 101.35 102.641509) + (xy 101.35 101.653747) (xy 101.387708 101.675518) (xy 101.527591 101.713) (xy 101.672409 101.713) + (xy 101.812292 101.675518) (xy 101.85 101.653747) (xy 101.85 102.641508) (xy 101.940128 102.627234) + (xy 102.15757 102.556582) (xy 102.361276 102.452788) (xy 102.544059 102.319988) (xy 102.609865 102.296508) + (xy 102.677919 102.312333) (xy 102.726614 102.362439) (xy 102.733127 102.376974) (xy 102.743701 102.405326) + (xy 102.743706 102.405335) (xy 102.829952 102.520544) (xy 102.829955 102.520547) (xy 102.945164 102.606793) + (xy 102.945171 102.606797) (xy 103.080017 102.657091) (xy 103.080016 102.657091) (xy 103.086944 102.657835) + (xy 103.139627 102.6635) (xy 105.140372 102.663499) (xy 105.199983 102.657091) (xy 105.334831 102.606796) + (xy 105.450046 102.520546) (xy 105.536296 102.405331) (xy 105.586591 102.270483) (xy 105.593 102.210873) + (xy 105.592999 100.115128) (xy 105.586591 100.055517) (xy 105.569679 100.010174) (xy 105.536297 99.920671) + (xy 105.536293 99.920664) (xy 105.450047 99.805455) (xy 105.450044 99.805452) (xy 105.334835 99.719206) + (xy 105.334828 99.719202) (xy 105.199982 99.668908) (xy 105.199983 99.668908) (xy 105.140383 99.662501) + (xy 105.140381 99.6625) (xy 105.140373 99.6625) (xy 105.140365 99.6625) (xy 103.964362 99.6625) + (xy 103.897323 99.642815) (xy 103.876681 99.626181) (xy 103.044819 98.794319) (xy 103.011334 98.732996) + (xy 103.0085 98.706638) (xy 103.0085 98.228869) (xy 103.028185 98.16183) (xy 103.080989 98.116075) + (xy 103.093486 98.111166) (xy 103.227334 98.066814) (xy 103.376655 97.974711) (xy 103.500711 97.850655) + (xy 103.592814 97.701334) (xy 103.647999 97.534797) (xy 103.6585 97.432008) (xy 103.6585 93.231992) + (xy 103.647999 93.129203) (xy 103.592814 92.962666) (xy 103.582143 92.945366) (xy 103.500713 92.813348) + (xy 103.50071 92.813344) (xy 103.376655 92.689289) (xy 103.376651 92.689286) (xy 103.227337 92.597187) + (xy 103.227335 92.597186) (xy 103.144065 92.569593) (xy 103.060797 92.542001) (xy 103.060795 92.542) + (xy 102.958015 92.5315) (xy 102.958008 92.5315) (xy 101.257992 92.5315) (xy 101.257984 92.5315) + (xy 101.155204 92.542) (xy 101.155203 92.542001) (xy 100.988664 92.597186) (xy 100.988662 92.597187) + (xy 100.839348 92.689286) (xy 100.839344 92.689289) (xy 100.715289 92.813344) (xy 100.715286 92.813348) + (xy 100.623187 92.962662) (xy 100.623186 92.962664) (xy 100.568001 93.129203) (xy 100.568 93.129204) + (xy 100.5575 93.231984) (xy 100.5575 94.3075) (xy 100.537815 94.374539) (xy 100.485011 94.420294) + (xy 100.4335 94.4315) (xy 99.815304 94.4315) (xy 99.661698 94.462054) (xy 99.651402 94.463656) (xy 99.642743 94.464632) + (xy 99.472478 94.52421) (xy 99.319737 94.620184) (xy 99.192184 94.747737) (xy 99.09621 94.900478) + (xy 99.036632 95.070743) (xy 99.035656 95.079402) (xy 99.034054 95.089698) (xy 99.0035 95.243304) + (xy 99.0035 95.420695) (xy 99.038103 95.594658) (xy 99.038106 95.594667) (xy 99.105983 95.75854) + (xy 99.10599 95.758553) (xy 99.204535 95.906034) (xy 99.204538 95.906038) (xy 99.329961 96.031461) + (xy 99.329965 96.031464) (xy 99.477446 96.130009) (xy 99.477459 96.130016) (xy 99.550184 96.160139) + (xy 99.641334 96.197894) (xy 99.641336 96.197894) (xy 99.641341 96.197896) (xy 99.815304 96.232499) + (xy 99.815307 96.2325) (xy 99.815309 96.2325) (xy 100.4335 96.2325) (xy 100.500539 96.252185) (xy 100.546294 96.304989) + (xy 100.5575 96.3565) (xy 100.5575 97.432015) (xy 100.568 97.534795) (xy 100.568001 97.534797) (xy 100.577064 97.562147) + (xy 100.623186 97.701335) (xy 100.623187 97.701337) (xy 100.715286 97.850651) (xy 100.715289 97.850655) + (xy 100.839344 97.97471) (xy 100.839348 97.974713) (xy 100.988662 98.066812) (xy 100.988664 98.066813) + (xy 100.988666 98.066814) (xy 101.122505 98.111164) (xy 101.179949 98.150935) (xy 101.206772 98.215451) + (xy 101.2075 98.228869) (xy 101.2075 99.219696) (xy 101.242103 99.393658) (xy 101.242105 99.393666) + (xy 101.276002 99.4755) (xy 101.276002 99.475501) (xy 101.304264 99.543732) (xy 101.311733 99.613201) + (xy 101.280458 99.67568) (xy 101.228021 99.709115) (xy 101.042431 99.769417) (xy 100.838723 99.873211) + (xy 100.653757 100.007597) (xy 100.492097 100.169257) (xy 100.430627 100.253864) (xy 100.375297 100.296529) + (xy 100.305684 100.302508) (xy 100.243889 100.269902) (xy 100.229991 100.253864) (xy 100.168286 100.168934) + (xy 100.006566 100.007214) (xy 99.821538 99.872783) (xy 99.792564 99.85802) (xy 99.617755 99.76895) + (xy 99.400248 99.698278) (xy 99.209193 99.668018) (xy 99.174354 99.6625) (xy 98.945646 99.6625) + (xy 98.945644 99.6625) (xy 98.910801 99.668018) (xy 98.841507 99.659062) (xy 98.803726 99.633227) + (xy 98.651178 99.480679) (xy 98.617695 99.419357) (xy 98.622679 99.349665) (xy 98.664551 99.293732) + (xy 98.730015 99.269315) (xy 98.738861 99.268999) (xy 98.831499 99.268999) (xy 99.3315 99.268999) + (xy 99.468972 99.268999) (xy 99.468986 99.268998) (xy 99.571697 99.258505) (xy 99.738119 99.203358) + (xy 99.738124 99.203356) (xy 99.887345 99.111315) (xy 100.011315 98.987345) (xy 100.103356 98.838124) + (xy 100.103358 98.838119) (xy 100.158505 98.671697) (xy 100.158506 98.67169) (xy 100.168999 98.568986) + (xy 100.169 98.568973) (xy 100.169 98.294) (xy 99.3315 98.294) (xy 99.3315 99.268999) (xy 98.831499 99.268999) + (xy 98.8315 99.268998) (xy 98.8315 97.794) (xy 99.3315 97.794) (xy 100.168999 97.794) (xy 100.168999 97.519028) + (xy 100.168998 97.519013) (xy 100.158505 97.416302) (xy 100.103358 97.24988) (xy 100.103356 97.249875) + (xy 100.011315 97.100654) (xy 99.887345 96.976684) (xy 99.738124 96.884643) (xy 99.738119 96.884641) + (xy 99.571697 96.829494) (xy 99.57169 96.829493) (xy 99.468986 96.819) (xy 99.3315 96.819) (xy 99.3315 97.794) + (xy 98.8315 97.794) (xy 98.8315 96.819) (xy 98.694027 96.819) (xy 98.694012 96.819001) (xy 98.591302 96.829494) + (xy 98.42488 96.884641) (xy 98.424875 96.884643) (xy 98.275654 96.976684) (xy 98.151683 97.100655) + (xy 98.151679 97.10066) (xy 98.149826 97.103665) (xy 98.148018 97.10529) (xy 98.147202 97.106323) + (xy 98.147025 97.106183) (xy 98.097874 97.150385) (xy 98.028911 97.161601) (xy 97.964831 97.133752) + (xy 97.938753 97.103653) (xy 97.938737 97.103628) (xy 97.936712 97.100344) (xy 97.843319 97.006951) + (xy 97.809834 96.945628) (xy 97.807 96.91927) (xy 97.807 89.67744) (xy 97.826685 89.610401) (xy 97.843319 89.589759) + (xy 98.801093 88.631985) (xy 100.558 88.631985) (xy 100.568493 88.734689) (xy 100.568494 88.734696) + (xy 100.623641 88.901118) (xy 100.623643 88.901123) (xy 100.715684 89.050344) (xy 100.839655 89.174315) + (xy 100.988876 89.266356) (xy 100.988881 89.266358) (xy 101.155303 89.321505) (xy 101.15531 89.321506) + (xy 101.258014 89.331999) (xy 101.258027 89.332) (xy 101.858 89.332) (xy 102.358 89.332) (xy 102.957973 89.332) + (xy 102.957985 89.331999) (xy 103.060689 89.321506) (xy 103.060696 89.321505) (xy 103.227118 89.266358) + (xy 103.227123 89.266356) (xy 103.376344 89.174315) (xy 103.500315 89.050344) (xy 103.592356 88.901123) + (xy 103.592358 88.901118) (xy 103.647505 88.734696) (xy 103.647506 88.734689) (xy 103.657999 88.631985) + (xy 103.658 88.631972) (xy 103.658 86.782) (xy 102.358 86.782) (xy 102.358 89.332) (xy 101.858 89.332) + (xy 101.858 86.782) (xy 100.558 86.782) (xy 100.558 88.631985) (xy 98.801093 88.631985) (xy 99.173786 88.259292) + (xy 99.173789 88.259289) (xy 99.261394 88.128179) (xy 99.271063 88.104837) (xy 99.321735 87.982501) + (xy 99.321737 87.982497) (xy 99.3525 87.827842) (xy 99.3525 87.670158) (xy 99.3525 86.411914) (xy 99.35328 86.398029) + (xy 99.357565 86.360001) (xy 99.357565 86.359998) (xy 99.35328 86.321969) (xy 99.3525 86.308085) + (xy 99.3525 86.281157) (xy 99.350109 86.26914) (xy 99.344709 86.241992) (xy 99.34311 86.231714) + (xy 99.337368 86.180745) (xy 99.329023 86.156898) (xy 99.324449 86.140139) (xy 99.321737 86.126503) + (xy 99.321734 86.126496) (xy 99.308916 86.09555) (xy 99.301484 86.077607) (xy 99.299028 86.071175) + (xy 99.277789 86.010478) (xy 99.271289 86.000134) (xy 99.261719 85.981606) (xy 99.261394 85.980821) + (xy 99.22479 85.92604) (xy 99.222905 85.923131) (xy 99.206981 85.897788) (xy 99.181816 85.857738) + (xy 99.054262 85.730184) (xy 99.05426 85.730182) (xy 99.054257 85.73018) (xy 98.9889 85.689114) + (xy 98.985982 85.687223) (xy 98.931179 85.650605) (xy 98.931173 85.650603) (xy 98.930365 85.650268) + (xy 98.911865 85.640709) (xy 98.901524 85.634212) (xy 98.901523 85.634211) (xy 98.901522 85.634211) + (xy 98.840867 85.612986) (xy 98.834377 85.610509) (xy 98.785496 85.590262) (xy 98.77186 85.58755) + (xy 98.755104 85.582976) (xy 98.73126 85.574633) (xy 98.731256 85.574632) (xy 98.731255 85.574632) + (xy 98.710575 85.572301) (xy 98.680303 85.56889) (xy 98.670003 85.567288) (xy 98.630844 85.5595) + (xy 98.630842 85.5595) (xy 98.603915 85.5595) (xy 98.590031 85.55872) (xy 98.552002 85.554435) (xy 98.551998 85.554435) + (xy 98.513969 85.55872) (xy 98.500085 85.5595) (xy 98.473152 85.5595) (xy 98.433994 85.567288) (xy 98.423696 85.56889) + (xy 98.372742 85.574632) (xy 98.372735 85.574634) (xy 98.348898 85.582975) (xy 98.33214 85.58755) + (xy 98.318502 85.590263) (xy 98.318496 85.590264) (xy 98.269631 85.610504) (xy 98.26314 85.612982) + (xy 98.202477 85.63421) (xy 98.202474 85.634212) (xy 98.192127 85.640713) (xy 98.173639 85.650266) + (xy 98.172832 85.6506) (xy 98.172824 85.650604) (xy 98.118047 85.687204) (xy 98.115132 85.689092) + (xy 98.049742 85.73018) (xy 98.049735 85.730186) (xy 97.922186 85.857735) (xy 97.92218 85.857742) + (xy 97.881092 85.923132) (xy 97.879209 85.926038) (xy 97.869882 85.939999) (xy 97.842604 85.980824) + (xy 97.8426 85.980832) (xy 97.842266 85.981639) (xy 97.832713 86.000127) (xy 97.826212 86.010474) + (xy 97.82621 86.010477) (xy 97.804982 86.07114) (xy 97.802504 86.077631) (xy 97.782264 86.126496) + (xy 97.782263 86.126502) (xy 97.77955 86.14014) (xy 97.774975 86.156898) (xy 97.766634 86.180735) + (xy 97.766632 86.180742) (xy 97.76089 86.231696) (xy 97.759288 86.241994) (xy 97.7515 86.281152) + (xy 97.7515 86.308085) (xy 97.75072 86.321969) (xy 97.746435 86.359998) (xy 97.746435 86.360001) + (xy 97.75072 86.398029) (xy 97.7515 86.411914) (xy 97.7515 87.36606) (xy 97.731815 87.433099) (xy 97.715181 87.453741) + (xy 96.496211 88.672711) (xy 96.454043 88.714879) (xy 96.384709 88.784212) (xy 96.297109 88.915314) + (xy 96.297102 88.915327) (xy 96.236764 89.060998) (xy 96.236761 89.06101) (xy 96.206 89.215653) + (xy 96.206 95.674051) (xy 96.186315 95.74109) (xy 96.133511 95.786845) (xy 96.064353 95.796789) + (xy 96.013109 95.777153) (xy 95.930553 95.72199) (xy 95.93054 95.721983) (xy 95.766667 95.654106) + (xy 95.766658 95.654103) (xy 95.592694 95.6195) (xy 95.592691 95.6195) (xy 95.35712 95.6195) (xy 95.290081 95.599815) + (xy 95.244326 95.547011) (xy 95.234382 95.477853) (xy 95.255389 95.428336) (xy 95.25411 95.42758) + (xy 95.287416 95.371262) (xy 95.341744 95.279398) (xy 95.383803 95.134631) (xy 95.387597 95.121573) + (xy 95.387598 95.121567) (xy 95.387893 95.117815) (xy 95.3905 95.084694) (xy 95.3905 94.653306) + (xy 95.387598 94.616431) (xy 95.385676 94.609816) (xy 95.341745 94.458606) (xy 95.341744 94.458603) + (xy 95.341744 94.458602) (xy 95.258081 94.317135) (xy 95.258078 94.317132) (xy 95.253298 94.310969) + (xy 95.25575 94.309066) (xy 95.229155 94.260421) (xy 95.234104 94.190726) (xy 95.25494 94.158304) + (xy 95.253298 94.157031) (xy 95.258075 94.15087) (xy 95.258081 94.150865) (xy 95.341744 94.009398) + (xy 95.377541 93.886185) (xy 95.387597 93.851573) (xy 95.387597 93.851571) (xy 95.387598 93.851569) + (xy 95.3905 93.814694) (xy 95.3905 93.383306) (xy 95.387598 93.346431) (xy 95.375498 93.304784) + (xy 95.341745 93.188606) (xy 95.341744 93.188603) (xy 95.341744 93.188602) (xy 95.258081 93.047135) + (xy 95.258078 93.047132) (xy 95.253298 93.040969) (xy 95.25575 93.039066) (xy 95.229155 92.990421) + (xy 95.234104 92.920726) (xy 95.25494 92.888304) (xy 95.253298 92.887031) (xy 95.258075 92.88087) + (xy 95.258081 92.880865) (xy 95.341744 92.739398) (xy 95.387598 92.581569) (xy 95.3905 92.544694) + (xy 95.3905 92.113306) (xy 95.387598 92.076431) (xy 95.387002 92.07438) (xy 95.344417 91.927803) + (xy 95.341744 91.918602) (xy 95.258081 91.777135) (xy 95.258078 91.777132) (xy 95.253298 91.770969) + (xy 95.25575 91.769066) (xy 95.229155 91.720421) (xy 95.234104 91.650726) (xy 95.25494 91.618304) + (xy 95.253298 91.617031) (xy 95.258075 91.61087) (xy 95.258081 91.610865) (xy 95.341744 91.469398) + (xy 95.387598 91.311569) (xy 95.3905 91.274694) (xy 95.3905 90.843306) (xy 95.387598 90.806431) + (xy 95.341744 90.648602) (xy 95.258081 90.507135) (xy 95.258078 90.507132) (xy 95.253298 90.500969) + (xy 95.25575 90.499066) (xy 95.229155 90.450421) (xy 95.234104 90.380726) (xy 95.25494 90.348304) + (xy 95.253298 90.347031) (xy 95.258075 90.34087) (xy 95.258081 90.340865) (xy 95.341744 90.199398) + (xy 95.387598 90.041569) (xy 95.3905 90.004694) (xy 95.3905 89.573306) (xy 95.387598 89.536431) + (xy 95.341744 89.378602) (xy 95.258081 89.237135) (xy 95.258078 89.237132) (xy 95.253298 89.230969) + (xy 95.25575 89.229066) (xy 95.229155 89.180421) (xy 95.234104 89.110726) (xy 95.25494 89.078304) + (xy 95.253298 89.077031) (xy 95.258075 89.07087) (xy 95.258081 89.070865) (xy 95.341744 88.929398) + (xy 95.387598 88.771569) (xy 95.3905 88.734694) (xy 95.3905 88.303306) (xy 95.387598 88.266431) + (xy 95.385806 88.260264) (xy 95.341745 88.108606) (xy 95.341744 88.108603) (xy 95.341744 88.108602) + (xy 95.258081 87.967135) (xy 95.258078 87.967132) (xy 95.253298 87.960969) (xy 95.25575 87.959066) + (xy 95.229155 87.910421) (xy 95.234104 87.840726) (xy 95.25494 87.808304) (xy 95.253298 87.807031) + (xy 95.258075 87.80087) (xy 95.258081 87.800865) (xy 95.341744 87.659398) (xy 95.387598 87.501569) + (xy 95.3905 87.464694) (xy 95.3905 87.033306) (xy 95.387598 86.996431) (xy 95.384568 86.986003) + (xy 95.341745 86.838606) (xy 95.341744 86.838603) (xy 95.341744 86.838602) (xy 95.258081 86.697135) + (xy 95.258078 86.697132) (xy 95.253298 86.690969) (xy 95.25575 86.689066) (xy 95.229155 86.640421) + (xy 95.234104 86.570726) (xy 95.25494 86.538304) (xy 95.253298 86.537031) (xy 95.258075 86.53087) + (xy 95.258081 86.530865) (xy 95.341744 86.389398) (xy 95.386054 86.236883) (xy 95.387597 86.231573) + (xy 95.387598 86.231567) (xy 95.3905 86.194694) (xy 95.3905 85.763306) (xy 95.387598 85.726431) + (xy 95.376756 85.689114) (xy 95.35642 85.619116) (xy 95.356619 85.549247) (xy 95.387814 85.496842) + (xy 95.540114 85.344543) (xy 95.616775 85.229811) (xy 95.617643 85.227717) (xy 95.669578 85.102332) + (xy 95.66958 85.102328) (xy 95.68916 85.003892) (xy 95.721544 84.941982) (xy 95.745677 84.922547) + (xy 95.802156 84.887712) (xy 95.926212 84.763656) (xy 95.928252 84.760347) (xy 95.930245 84.758555) + (xy 95.930693 84.757989) (xy 95.930789 84.758065) (xy 95.980194 84.713623) (xy 96.049156 84.702395) + (xy 96.11324 84.730234) (xy 96.139329 84.760339) (xy 96.141181 84.763341) (xy 96.141183 84.763344) + (xy 96.265154 84.887315) (xy 96.414375 84.979356) (xy 96.41438 84.979358) (xy 96.580802 85.034505) + (xy 96.580809 85.034506) (xy 96.683519 85.044999) (xy 96.820999 85.044999) (xy 97.321 85.044999) + (xy 97.458472 85.044999) (xy 97.458486 85.044998) (xy 97.561197 85.034505) (xy 97.727619 84.979358) + (xy 97.727624 84.979356) (xy 97.876845 84.887315) (xy 98.000815 84.763345) (xy 98.092856 84.614124) + (xy 98.092858 84.614119) (xy 98.148005 84.447697) (xy 98.148006 84.44769) (xy 98.149608 84.432014) + (xy 100.558 84.432014) (xy 100.558 86.282) (xy 101.858 86.282) (xy 102.358 86.282) (xy 103.658 86.282) + (xy 103.658 84.432027) (xy 103.657999 84.432014) (xy 103.647506 84.32931) (xy 103.647505 84.329303) + (xy 103.592358 84.162881) (xy 103.592356 84.162876) (xy 103.500315 84.013655) (xy 103.376344 83.889684) + (xy 103.227123 83.797643) (xy 103.227118 83.797641) (xy 103.060696 83.742494) (xy 103.060689 83.742493) + (xy 102.957985 83.732) (xy 102.358 83.732) (xy 102.358 86.282) (xy 101.858 86.282) (xy 101.858 83.732) + (xy 101.258014 83.732) (xy 101.15531 83.742493) (xy 101.155303 83.742494) (xy 100.988881 83.797641) + (xy 100.988876 83.797643) (xy 100.839655 83.889684) (xy 100.715684 84.013655) (xy 100.623643 84.162876) + (xy 100.623641 84.162881) (xy 100.568494 84.329303) (xy 100.568493 84.32931) (xy 100.558 84.432014) + (xy 98.149608 84.432014) (xy 98.158499 84.344986) (xy 98.1585 84.344973) (xy 98.1585 84.07) (xy 97.321 84.07) + (xy 97.321 85.044999) (xy 96.820999 85.044999) (xy 96.821 85.044998) (xy 96.821 83.57) (xy 97.321 83.57) + (xy 98.158499 83.57) (xy 98.158499 83.295028) (xy 98.158498 83.295013) (xy 98.148005 83.192302) + (xy 98.092858 83.02588) (xy 98.092856 83.025875) (xy 98.000815 82.876654) (xy 97.876845 82.752684) + (xy 97.727624 82.660643) (xy 97.727619 82.660641) (xy 97.561197 82.605494) (xy 97.56119 82.605493) + (xy 97.458486 82.595) (xy 97.321 82.595) (xy 97.321 83.57) (xy 96.821 83.57) (xy 96.821 82.595) + (xy 96.683527 82.595) (xy 96.683512 82.595001) (xy 96.580802 82.605494) (xy 96.41438 82.660641) + (xy 96.414375 82.660643) (xy 96.265154 82.752684) (xy 96.141183 82.876655) (xy 96.141179 82.87666) + (xy 96.139326 82.879665) (xy 96.137518 82.88129) (xy 96.136702 82.882323) (xy 96.136525 82.882183) + (xy 96.087374 82.926385) (xy 96.018411 82.937601) (xy 95.954331 82.909752) (xy 95.928253 82.879653) + (xy 95.928237 82.879628) (xy 95.926212 82.876344) (xy 95.802156 82.752288) (xy 95.652834 82.660186) + (xy 95.486297 82.605001) (xy 95.486295 82.605) (xy 95.38351 82.5945) (xy 94.608498 82.5945) (xy 94.60848 82.594501) + (xy 94.505699 82.605001) (xy 94.502715 82.60599) (xy 94.500768 82.606056) (xy 94.499081 82.606418) + (xy 94.499016 82.606116) (xy 94.432887 82.608386) (xy 94.37604 82.575962) (xy 92.775258 80.97518) + (xy 92.741773 80.913857) (xy 92.746757 80.844165) (xy 92.788629 80.788232) (xy 92.854093 80.763815) + (xy 92.862939 80.763499) (xy 93.296059 80.763499) (xy 93.363098 80.783184) (xy 93.38374 80.799818) + (xy 93.541711 80.957789) (xy 93.623936 81.040014) (xy 93.653212 81.06929) (xy 93.784314 81.15689) + (xy 93.784327 81.156897) (xy 93.929998 81.217235) (xy 93.930003 81.217237) (xy 93.930007 81.217237) + (xy 93.930008 81.217238) (xy 94.084654 81.248) (xy 94.084657 81.248) (xy 94.084658 81.248) (xy 100.965023 81.248) + (xy 101.032062 81.267685) (xy 101.077817 81.320489) (xy 101.085266 81.347634) (xy 101.086124 81.347432) + (xy 101.087907 81.354979) (xy 101.138202 81.489828) (xy 101.138206 81.489835) (xy 101.224452 81.605044) + (xy 101.224455 81.605047) (xy 101.339664 81.691293) (xy 101.339671 81.691297) (xy 101.474517 81.741591) + (xy 101.474516 81.741591) (xy 101.481444 81.742335) (xy 101.534127 81.748) (xy 103.229872 81.747999) + (xy 103.289483 81.741591) (xy 103.424331 81.691296) (xy 103.539546 81.605046) (xy 103.625796 81.489831) + (xy 103.676091 81.354983) (xy 103.676092 81.354972) (xy 103.677365 81.349588) (xy 103.711933 81.288869) + (xy 103.773841 81.256478) (xy 103.843433 81.262699) (xy 103.885725 81.290412) (xy 104.042858 81.447545) + (xy 104.073765 81.469186) (xy 104.229266 81.578068) (xy 104.435504 81.674239) (xy 104.655308 81.733135) + (xy 104.81723 81.747301) (xy 104.881998 81.752968) (xy 104.882 81.752968) (xy 104.882002 81.752968) + (xy 104.938807 81.747998) (xy 105.108692 81.733135) (xy 105.328496 81.674239) (xy 105.534734 81.578068) + (xy 105.721139 81.447547) (xy 105.882047 81.286639) (xy 106.012118 81.100875) (xy 106.066693 81.057252) + (xy 106.113692 81.048) (xy 106.559518 81.048) (xy 106.559534 81.048001) (xy 106.56713 81.048001) + (xy 106.725241 81.048001) (xy 106.725244 81.048001) (xy 106.877972 81.007077) (xy 106.928091 80.978139) + (xy 107.014903 80.92802) (xy 107.126707 80.816216) (xy 107.126707 80.816214) (xy 107.136915 80.806007) + (xy 107.136917 80.806004) (xy 107.806535 80.136385) (xy 107.867856 80.102902) (xy 107.880311 80.10085) + (xy 107.967255 80.091055) (xy 108.137522 80.031476) (xy 108.290262 79.935503) (xy 108.417816 79.807949) + (xy 108.513789 79.655209) (xy 108.573368 79.484942) (xy 108.578127 79.442707) (xy 108.593565 79.30569) + (xy 108.593565 79.305683) (xy 108.573369 79.126437) (xy 108.573368 79.126432) (xy 108.568584 79.11276) + (xy 108.513789 78.956165) (xy 108.417816 78.803425) (xy 108.290262 78.675871) (xy 108.287442 78.674099) + (xy 108.137519 78.579896) (xy 108.014465 78.536837) (xy 107.957689 78.496116) (xy 107.938378 78.46075) + (xy 107.913788 78.390476) (xy 107.817815 78.237737) (xy 107.690262 78.110184) (xy 107.537521 78.01421) + (xy 107.367249 77.95463) (xy 107.238366 77.940109) (xy 107.190255 77.924279) (xy 107.177407 77.916862) + (xy 107.177404 77.91686) (xy 107.127285 77.887923) (xy 106.974557 77.846999) (xy 106.816443 77.846999) + (xy 106.808847 77.846999) (xy 106.808831 77.847) (xy 106.113692 77.847) (xy 106.046653 77.827315) + (xy 106.012119 77.794125) (xy 105.882047 77.608361) (xy 105.882045 77.608358) (xy 105.721141 77.447454) + (xy 105.534734 77.316932) (xy 105.534732 77.316931) (xy 105.328497 77.220761) (xy 105.328488 77.220758) + (xy 105.108697 77.161866) (xy 105.108693 77.161865) (xy 105.108692 77.161865) (xy 105.108691 77.161864) + (xy 105.108686 77.161864) (xy 104.882002 77.142032) (xy 104.881998 77.142032) (xy 104.655313 77.161864) + (xy 104.655302 77.161866) (xy 104.435511 77.220758) (xy 104.435502 77.220761) (xy 104.229267 77.316931) + (xy 104.229265 77.316932) (xy 104.042858 77.447454) (xy 103.881954 77.608358) (xy 103.751432 77.794765) + (xy 103.751429 77.79477) (xy 103.744104 77.810479) (xy 103.697929 77.862917) (xy 103.630735 77.882066) + (xy 103.563855 77.861847) (xy 103.519341 77.810473) (xy 103.512133 77.795015) (xy 103.512132 77.795013) + (xy 103.461025 77.722026) (xy 102.84455 78.3385) (xy 102.82463 78.264157) (xy 102.762095 78.155843) + (xy 102.673657 78.067405) (xy 102.565343 78.00487) (xy 102.490998 77.984949) (xy 103.107472 77.368474) + (xy 103.034478 77.317363) (xy 102.828331 77.221235) (xy 102.828317 77.22123) (xy 102.60861 77.16236) + (xy 102.608599 77.162358) (xy 102.382002 77.142534) (xy 102.381998 77.142534) (xy 102.1554 77.162358) + (xy 102.155389 77.16236) (xy 101.935682 77.22123) (xy 101.935673 77.221234) (xy 101.729516 77.317366) + (xy 101.729512 77.317368) (xy 101.656526 77.368473) (xy 101.656526 77.368474) (xy 102.273002 77.984949) + (xy 102.198657 78.00487) (xy 102.090343 78.067405) (xy 102.001905 78.155843) (xy 101.93937 78.264157) + (xy 101.919449 78.338502) (xy 101.302974 77.722026) (xy 101.302973 77.722026) (xy 101.251868 77.795012) + (xy 101.251866 77.795016) (xy 101.155734 78.001173) (xy 101.15573 78.001182) (xy 101.09686 78.220889) + (xy 101.096858 78.2209) (xy 101.077034 78.447497) (xy 101.077034 78.4475) (xy 101.096858 78.674099) + (xy 101.09686 78.67411) (xy 101.15573 78.893817) (xy 101.155734 78.893826) (xy 101.251865 79.099981) + (xy 101.251868 79.099985) (xy 101.260812 79.11276) (xy 101.283138 79.178967) (xy 101.266125 79.246734) + (xy 101.233549 79.283145) (xy 101.224456 79.289952) (xy 101.224451 79.289957) (xy 101.138206 79.405164) + (xy 101.138202 79.405171) (xy 101.087908 79.540017) (xy 101.086126 79.547562) (xy 101.083853 79.547025) + (xy 101.061571 79.600812) (xy 101.004177 79.640657) (xy 100.965024 79.647) (xy 94.54644 79.647) + (xy 94.479401 79.627315) (xy 94.458759 79.610681) (xy 93.857818 79.00974) (xy 93.824333 78.948417) + (xy 93.821499 78.922059) (xy 93.821499 78.185129) (xy 93.821498 78.185123) (xy 93.815091 78.125516) + (xy 93.764797 77.990671) (xy 93.764793 77.990664) (xy 93.678547 77.875455) (xy 93.678544 77.875452) + (xy 93.563335 77.789206) (xy 93.563328 77.789202) (xy 93.428482 77.738908) (xy 93.428483 77.738908) + (xy 93.368883 77.732501) (xy 93.368881 77.7325) (xy 93.368873 77.7325) (xy 93.368864 77.7325) (xy 91.543129 77.7325) + (xy 91.543123 77.732501) (xy 91.483516 77.738908) (xy 91.348671 77.789202) (xy 91.348664 77.789206) + (xy 91.233455 77.875452) (xy 91.233452 77.875455) (xy 91.147206 77.990664) (xy 91.147202 77.990671) + (xy 91.103952 78.106633) (xy 91.062081 78.162567) (xy 90.996617 78.186984) (xy 90.928344 78.172133) + (xy 90.900089 78.150981) (xy 90.805565 78.056457) (xy 90.805563 78.056455) (xy 90.631678 77.93012) + (xy 90.537367 77.882066) (xy 90.44017 77.832541) (xy 90.440167 77.83254) (xy 90.235757 77.766124) + (xy 90.094229 77.743708) (xy 90.023467 77.7325) (xy 89.808533 77.7325) (xy 89.73777 77.743708) (xy 89.596243 77.766124) + (xy 89.59624 77.766124) (xy 89.391832 77.83254) (xy 89.391829 77.832541) (xy 89.200321 77.93012) + (xy 89.116981 77.990671) (xy 89.026437 78.056455) (xy 89.026435 78.056457) (xy 89.026434 78.056457) + (xy 88.874457 78.208434) (xy 88.874457 78.208435) (xy 88.874455 78.208437) (xy 88.853167 78.237738) + (xy 88.746318 78.384802) (xy 88.690988 78.427467) (xy 88.621374 78.433446) (xy 88.559579 78.40084) + (xy 88.545682 78.384802) (xy 88.532836 78.367121) (xy 88.417545 78.208437) (xy 88.265563 78.056455) + (xy 88.091678 77.93012) (xy 87.997367 77.882066) (xy 87.90017 77.832541) (xy 87.900167 77.83254) + (xy 87.695757 77.766124) (xy 87.554229 77.743708) (xy 87.483467 77.7325) (xy 87.268533 77.7325) + (xy 87.19777 77.743708) (xy 87.056243 77.766124) (xy 87.05624 77.766124) (xy 86.851832 77.83254) + (xy 86.851829 77.832541) (xy 86.660321 77.93012) (xy 86.576981 77.990671) (xy 86.486437 78.056455) + (xy 86.486435 78.056457) (xy 86.486434 78.056457) (xy 86.334457 78.208434) (xy 86.334457 78.208435) + (xy 86.334455 78.208437) (xy 86.313167 78.237738) (xy 86.208115 78.382328) (xy 86.205575 78.386474) + (xy 86.204004 78.385511) (xy 86.161447 78.430583) (xy 86.098923 78.4475) (xy 84.53894 78.4475) (xy 84.471901 78.427815) + (xy 84.451259 78.411181) (xy 81.627572 75.587494) (xy 95.127057 75.587494) (xy 95.127057 75.587505) + (xy 95.146807 75.901442) (xy 95.146808 75.901449) (xy 95.205755 76.210458) (xy 95.302963 76.509632) + (xy 95.302965 76.509637) (xy 95.4369 76.794261) (xy 95.436903 76.794267) (xy 95.605457 77.059867) + (xy 95.60546 77.059871) (xy 95.696286 77.16966) (xy 96.659913 76.206033) (xy 96.754829 76.336675) + (xy 96.882825 76.464671) (xy 97.013465 76.559586) (xy 96.046971 77.526079) (xy 96.046972 77.526081) + (xy 96.289772 77.702485) (xy 96.28979 77.702496) (xy 96.565447 77.85404) (xy 96.565455 77.854044) + (xy 96.857926 77.96984) (xy 97.16262 78.048073) (xy 97.162629 78.048075) (xy 97.474701 78.087499) + (xy 97.474715 78.0875) (xy 97.789285 78.0875) (xy 97.789298 78.087499) (xy 98.10137 78.048075) (xy 98.101379 78.048073) + (xy 98.406073 77.96984) (xy 98.698544 77.854044) (xy 98.698552 77.85404) (xy 98.974209 77.702496) + (xy 98.974219 77.70249) (xy 99.217026 77.526079) (xy 99.217027 77.526079) (xy 98.250533 76.559586) + (xy 98.381175 76.464671) (xy 98.509171 76.336675) (xy 98.604086 76.206034) (xy 99.567712 77.16966) + (xy 99.658544 77.059864) (xy 99.827096 76.794267) (xy 99.827099 76.794261) (xy 99.961034 76.509637) + (xy 99.961036 76.509632) (xy 100.058244 76.210458) (xy 100.117191 75.901449) (xy 100.117192 75.901442) + (xy 100.136943 75.587505) (xy 100.136943 75.587494) (xy 100.117192 75.273557) (xy 100.117191 75.27355) + (xy 100.058244 74.964541) (xy 99.961036 74.665367) (xy 99.961034 74.665362) (xy 99.827099 74.380738) + (xy 99.827096 74.380732) (xy 99.658542 74.115132) (xy 99.658539 74.115128) (xy 99.567712 74.005338) + (xy 98.604085 74.968965) (xy 98.509171 74.838325) (xy 98.381175 74.710329) (xy 98.250533 74.615413) + (xy 99.217027 73.648919) (xy 99.217026 73.648917) (xy 98.974227 73.472514) (xy 98.974209 73.472503) + (xy 98.698552 73.320959) (xy 98.698544 73.320955) (xy 98.406073 73.205159) (xy 98.101379 73.126926) + (xy 98.10137 73.126924) (xy 97.789298 73.0875) (xy 97.474701 73.0875) (xy 97.162629 73.126924) (xy 97.16262 73.126926) + (xy 96.857926 73.205159) (xy 96.565455 73.320955) (xy 96.565447 73.320959) (xy 96.289787 73.472504) + (xy 96.289782 73.472507) (xy 96.046972 73.648918) (xy 96.046971 73.648919) (xy 97.013466 74.615413) + (xy 96.882825 74.710329) (xy 96.754829 74.838325) (xy 96.659913 74.968965) (xy 95.696286 74.005338) + (xy 95.696285 74.005338) (xy 95.605459 74.115129) (xy 95.605457 74.115132) (xy 95.436903 74.380732) + (xy 95.4369 74.380738) (xy 95.302965 74.665362) (xy 95.302963 74.665367) (xy 95.205755 74.964541) + (xy 95.146808 75.27355) (xy 95.146807 75.273557) (xy 95.127057 75.587494) (xy 81.627572 75.587494) + (xy 80.834819 74.794741) (xy 80.801334 74.733418) (xy 80.7985 74.70706) (xy 80.7985 72.239997) (xy 80.798499 72.239984) + (xy 80.787999 72.137204) (xy 80.787999 72.137203) (xy 80.732814 71.970666) (xy 80.645428 71.828993) + (xy 80.640713 71.821348) (xy 80.64071 71.821344) (xy 80.516655 71.697289) (xy 80.516651 71.697286) + (xy 80.367337 71.605187) (xy 80.367335 71.605186) (xy 80.284065 71.577593) (xy 80.200797 71.550001) + (xy 80.200795 71.55) (xy 80.098015 71.5395) (xy 80.098008 71.5395) (xy 78.397992 71.5395) (xy 78.397984 71.5395) + (xy 78.295204 71.55) (xy 78.295203 71.550001) (xy 78.128664 71.605186) (xy 78.128662 71.605187) + (xy 77.979348 71.697286) (xy 77.979344 71.697289) (xy 77.855289 71.821344) (xy 77.855286 71.821348) + (xy 77.763187 71.970662) (xy 77.763186 71.970664) (xy 77.708001 72.137203) (xy 77.708 72.137204) + (xy 77.6975 72.239984) (xy 77.6975 76.440015) (xy 77.708 76.542795) (xy 77.708001 76.542796) (xy 77.763186 76.709335) + (xy 77.763187 76.709337) (xy 77.855286 76.858651) (xy 77.855289 76.858655) (xy 77.979344 76.98271) + (xy 77.979348 76.982713) (xy 78.128662 77.074812) (xy 78.128664 77.074813) (xy 78.128666 77.074814) + (xy 78.295203 77.129999) (xy 78.397992 77.1405) (xy 78.397997 77.1405) (xy 80.098003 77.1405) (xy 80.098008 77.1405) + (xy 80.200797 77.129999) (xy 80.367334 77.074814) (xy 80.516655 76.982711) (xy 80.549963 76.949403) + (xy 80.611286 76.915918) (xy 80.680978 76.920902) (xy 80.725325 76.949403) (xy 83.534211 79.758289) + (xy 83.603237 79.827315) (xy 83.645712 79.86979) (xy 83.776814 79.95739) (xy 83.776827 79.957397) + (xy 83.863948 79.993483) (xy 83.922503 80.017737) (xy 83.922507 80.017737) (xy 83.922508 80.017738) + (xy 84.077154 80.0485) (xy 84.077157 80.0485) (xy 84.077158 80.0485) (xy 86.098923 80.0485) (xy 86.165962 80.068185) + (xy 86.20415 80.110399) (xy 86.205575 80.109526) (xy 86.208115 80.113671) (xy 86.208119 80.113677) + (xy 86.20812 80.113678) (xy 86.334455 80.287563) (xy 86.486437 80.439545) (xy 86.660322 80.56588) + (xy 86.754251 80.613739) (xy 86.851829 80.663458) (xy 86.851832 80.663459) (xy 87.033568 80.722508) + (xy 87.056245 80.729876) (xy 87.268533 80.7635) (xy 87.268534 80.7635) (xy 87.483466 80.7635) (xy 87.483467 80.7635) + (xy 87.695755 80.729876) (xy 87.695758 80.729875) (xy 87.695759 80.729875) (xy 87.900167 80.663459) + (xy 87.90017 80.663458) (xy 88.091678 80.56588) (xy 88.265563 80.439545) (xy 88.417545 80.287563) + (xy 88.54388 80.113678) (xy 88.543879 80.113678) (xy 88.545682 80.111198) (xy 88.601012 80.068532) + (xy 88.670625 80.062553) (xy 88.73242 80.095159) (xy 88.746318 80.111198) (xy 88.74812 80.113678) + (xy 88.874455 80.287563) (xy 89.026437 80.439545) (xy 89.200322 80.56588) (xy 89.294251 80.613739) + (xy 89.391829 80.663458) (xy 89.391832 80.663459) (xy 89.573568 80.722508) (xy 89.596245 80.729876) + (xy 89.808533 80.7635) (xy 89.808534 80.7635) (xy 90.023466 80.7635) (xy 90.023467 80.7635) (xy 90.199287 80.735652) + (xy 90.26858 80.744606) (xy 90.306366 80.770444) (xy 92.343741 82.807819) (xy 92.377226 82.869142) + (xy 92.372242 82.938834) (xy 92.33037 82.994767) (xy 92.264906 83.019184) (xy 92.25606 83.0195) + (xy 90.80694 83.0195) (xy 90.739901 82.999815) (xy 90.719259 82.983181) (xy 89.410292 81.674213) + (xy 89.410288 81.67421) (xy 89.279185 81.586609) (xy 89.279172 81.586602) (xy 89.133501 81.526264) + (xy 89.133489 81.526261) (xy 88.978845 81.4955) (xy 88.978842 81.4955) (xy 84.71094 81.4955) (xy 84.643901 81.475815) + (xy 84.623259 81.459181) (xy 82.042291 78.878212) (xy 82.042288 78.87821) (xy 81.911185 78.790609) + (xy 81.911172 78.790602) (xy 81.765501 78.730264) (xy 81.765489 78.730261) (xy 81.610845 78.6995) + (xy 81.610842 78.6995) (xy 77.078842 78.6995) (xy 76.921157 78.6995) (xy 76.921154 78.6995) (xy 76.766509 78.730261) + (xy 76.766501 78.730263) (xy 76.728242 78.746111) (xy 76.728241 78.746111) (xy 76.620827 78.790602) + (xy 76.620814 78.790609) (xy 76.489712 78.878209) (xy 76.489711 78.878211) (xy 76.48971 78.878212) + (xy 76.197711 79.170211) (xy 76.164218 79.203704) (xy 76.086209 79.281712) (xy 75.998609 79.412814) + (xy 75.998602 79.412827) (xy 75.938264 79.558498) (xy 75.938261 79.55851) (xy 75.9075 79.713153) + (xy 75.9075 86.16006) (xy 75.887815 86.227099) (xy 75.835011 86.272854) (xy 75.765853 86.282798) + (xy 75.702297 86.253773) (xy 75.695819 86.247741) (xy 75.686262 86.238184) (xy 75.620842 86.197078) + (xy 75.617923 86.195186) (xy 75.563179 86.158607) (xy 75.563169 86.158603) (xy 75.562381 86.158276) + (xy 75.543869 86.148712) (xy 75.533524 86.142212) (xy 75.533521 86.14221) (xy 75.472856 86.120982) + (xy 75.466363 86.118503) (xy 75.417497 86.098263) (xy 75.417493 86.098262) (xy 75.40386 86.09555) + (xy 75.387104 86.090976) (xy 75.36326 86.082633) (xy 75.363256 86.082632) (xy 75.363255 86.082632) + (xy 75.342575 86.080301) (xy 75.312303 86.07689) (xy 75.302003 86.075288) (xy 75.262844 86.0675) + (xy 75.262842 86.0675) (xy 75.235915 86.0675) (xy 75.222031 86.06672) (xy 75.184002 86.062435) (xy 75.183998 86.062435) + (xy 75.145969 86.06672) (xy 75.132085 86.0675) (xy 75.105154 86.0675) (xy 75.065996 86.075288) (xy 75.055696 86.07689) + (xy 75.004741 86.082632) (xy 74.980895 86.090976) (xy 74.964142 86.095549) (xy 74.950503 86.098262) + (xy 74.9505 86.098263) (xy 74.901628 86.118507) (xy 74.895131 86.120987) (xy 74.834476 86.142211) + (xy 74.824127 86.148714) (xy 74.805627 86.158272) (xy 74.804825 86.158604) (xy 74.80482 86.158606) + (xy 74.750065 86.195192) (xy 74.74715 86.197082) (xy 74.681736 86.238185) (xy 74.681735 86.238186) + (xy 74.380741 86.539181) (xy 74.319418 86.572666) (xy 74.29306 86.5755) (xy 74.1765 86.5755) (xy 74.109461 86.555815) + (xy 74.063706 86.503011) (xy 74.0525 86.4515) (xy 74.0525 86.271306) (xy 74.052499 86.271304) (xy 74.017896 86.097341) + (xy 74.017893 86.097332) (xy 74.017154 86.095549) (xy 73.997041 86.04699) (xy 73.950016 85.933459) + (xy 73.950009 85.933446) (xy 73.851464 85.785965) (xy 73.851461 85.785961) (xy 73.726038 85.660538) + (xy 73.726034 85.660535) (xy 73.615639 85.586771) (xy 73.596849 85.57135) (xy 71.809337 83.783838) + (xy 71.775852 83.722515) (xy 71.779312 83.657153) (xy 71.795998 83.606799) (xy 71.795999 83.606797) + (xy 71.8065 83.504009) (xy 71.806499 82.103992) (xy 71.795999 82.001203) (xy 71.740814 81.834666) + (xy 71.648712 81.685344) (xy 71.524656 81.561288) (xy 71.4088 81.489828) (xy 71.375336 81.469187) + (xy 71.375331 81.469185) (xy 71.345141 81.459181) (xy 71.208797 81.414001) (xy 71.208795 81.414) + (xy 71.10601 81.4035) (xy 68.005998 81.4035) (xy 68.005981 81.403501) (xy 67.903203 81.414) (xy 67.9032 81.414001) + (xy 67.736668 81.469185) (xy 67.736663 81.469187) (xy 67.587342 81.561289) (xy 67.463289 81.685342) + (xy 67.371187 81.834663) (xy 67.371186 81.834666) (xy 67.316001 82.001203) (xy 67.316001 82.001204) + (xy 67.316 82.001204) (xy 67.3055 82.103983) (xy 67.3055 83.504001) (xy 67.305501 83.504018) (xy 67.316 83.606796) + (xy 67.316001 83.606799) (xy 67.371185 83.773331) (xy 67.371187 83.773336) (xy 67.38618 83.797643) + (xy 67.463288 83.922656) (xy 67.587344 84.046712) (xy 67.736666 84.138814) (xy 67.903203 84.193999) + (xy 68.005991 84.2045) (xy 69.631637 84.204499) (xy 69.698676 84.224184) (xy 69.719318 84.240818) + (xy 70.863338 85.384838) (xy 71.84232 86.363819) (xy 71.875805 86.425142) (xy 71.870821 86.494834) + (xy 71.828949 86.550767) (xy 71.763485 86.575184) (xy 71.754639 86.5755) (xy 69.470939 86.5755) + (xy 69.4039 86.555815) (xy 69.383258 86.539181) (xy 68.988292 86.144213) (xy 68.988288 86.14421) + (xy 68.857185 86.056609) (xy 68.857172 86.056602) (xy 68.711501 85.996264) (xy 68.711489 85.996261) + (xy 68.556845 85.9655) (xy 68.556842 85.9655) (xy 65.946884 85.9655) (xy 65.879845 85.945815) (xy 65.838229 85.897788) + (xy 65.83682 85.898525) (xy 65.833909 85.892953) (xy 65.833909 85.892951) (xy 65.739698 85.712593) + (xy 65.657543 85.611838) (xy 65.611109 85.55489) (xy 65.454431 85.427137) (xy 65.453407 85.426302) + (xy 65.273049 85.332091) (xy 65.273048 85.33209) (xy 65.273045 85.332089) (xy 65.155829 85.29855) + (xy 65.077418 85.276114) (xy 65.077415 85.276113) (xy 65.077413 85.276113) (xy 65.011102 85.270217) + (xy 64.958037 85.2655) (xy 64.958032 85.2655) (xy 62.041971 85.2655) (xy 62.041965 85.2655) (xy 62.041964 85.265501) + (xy 62.030316 85.266536) (xy 61.922584 85.276113) (xy 61.726954 85.332089) (xy 61.636772 85.379196) + (xy 61.546593 85.426302) (xy 61.546591 85.426303) (xy 61.54659 85.426304) (xy 61.38889 85.55489) + (xy 61.260304 85.71259) (xy 61.260302 85.712593) (xy 61.233816 85.763298) (xy 61.166089 85.892954) + (xy 61.132462 86.010478) (xy 61.112099 86.081647) (xy 61.110114 86.088583) (xy 61.110113 86.088586) + (xy 61.103888 86.158604) (xy 61.100468 86.197082) (xy 61.0995 86.207966) (xy 61.0995 87.324028) + (xy 61.099501 87.324034) (xy 61.110113 87.443415) (xy 61.166089 87.639045) (xy 61.16609 87.639048) + (xy 61.166091 87.639049) (xy 61.260302 87.819407) (xy 61.28484 87.8495) (xy 61.38889 87.977109) + (xy 61.482803 88.053684) (xy 61.546593 88.105698) (xy 61.726951 88.199909) (xy 61.922582 88.255886) + (xy 62.041963 88.2665) (xy 62.5755 88.266499) (xy 62.642539 88.286183) (xy 62.688294 88.338987) + (xy 62.6995 88.390499) (xy 62.6995 89.356085) (xy 62.69872 89.369969) (xy 62.694435 89.407998) (xy 62.694435 89.408001) + (xy 62.69872 89.446029) (xy 62.6995 89.459914) (xy 62.6995 91.600327) (xy 62.679815 91.667366) (xy 62.653187 91.696974) + (xy 62.527637 91.797894) (xy 62.502278 91.818278) (xy 62.501993 91.818633) (xy 62.446326 91.887885) + (xy 62.388982 91.927803) (xy 62.31916 91.930383) (xy 62.259027 91.894804) (xy 62.253031 91.887884) + (xy 62.197364 91.81863) (xy 62.049025 91.699392) (xy 62.049022 91.69939) (xy 61.878523 91.614831) + (xy 61.693824 91.568897) (xy 61.651097 91.566) (xy 61.45 91.566) (xy 61.45 94.566) (xy 61.651097 94.566) + (xy 61.693824 94.563102) (xy 61.878523 94.517168) (xy 62.049022 94.432609) (xy 62.049025 94.432607) + (xy 62.197366 94.313367) (xy 62.197367 94.313366) (xy 62.253033 94.244115) (xy 62.310376 94.204196) + (xy 62.380198 94.201616) (xy 62.440331 94.237194) (xy 62.446305 94.244089) (xy 62.502278 94.313722) + (xy 62.50228 94.313724) (xy 62.650704 94.43303) (xy 62.650707 94.433032) (xy 62.821302 94.517639) + (xy 62.821303 94.517639) (xy 62.821307 94.517641) (xy 63.006111 94.5636) (xy 63.048877 94.5665) + (xy 63.951122 94.566499) (xy 63.993889 94.5636) (xy 64.178693 94.517641) (xy 64.349296 94.43303) + (xy 64.497722 94.313722) (xy 64.553353 94.244514) (xy 64.610696 94.204595) (xy 64.680518 94.202015) + (xy 64.740651 94.237594) (xy 64.746647 94.244514) (xy 64.802277 94.313721) (xy 64.802278 94.313722) + (xy 64.950704 94.43303) (xy 64.950707 94.433032) (xy 65.121302 94.517639) (xy 65.121303 94.517639) + (xy 65.121307 94.517641) (xy 65.306111 94.5636) (xy 65.348877 94.5665) (xy 66.251122 94.566499) + (xy 66.293889 94.5636) (xy 66.478693 94.517641) (xy 66.649296 94.43303) (xy 66.797722 94.313722) + (xy 66.91703 94.165296) (xy 67.001641 93.994693) (xy 67.006032 93.977036) (xy 67.010127 93.960573) + (xy 67.045409 93.900266) (xy 67.107695 93.868608) (xy 67.130461 93.8665) (xy 68.308745 93.8665) + (xy 68.375784 93.886185) (xy 68.421539 93.938989) (xy 68.431483 94.008147) (xy 68.413739 94.056472) + (xy 68.362211 94.138477) (xy 68.302631 94.308745) (xy 68.30263 94.30875) (xy 68.282435 94.487996) + (xy 68.282435 94.488003) (xy 68.30263 94.667249) (xy 68.302631 94.667254) (xy 68.362211 94.837523) + (xy 68.458184 94.990262) (xy 68.585738 95.117816) (xy 68.738478 95.213789) (xy 68.908745 95.273368) + (xy 68.90875 95.273369) (xy 69.087996 95.293565) (xy 69.088 95.293565) (xy 69.088004 95.293565) + (xy 69.267249 95.273369) (xy 69.267252 95.273368) (xy 69.267255 95.273368) (xy 69.437522 95.213789) + (xy 69.590262 95.117816) (xy 69.590267 95.11781) (xy 69.593097 95.115555) (xy 69.595275 95.114665) + (xy 69.596158 95.114111) (xy 69.596255 95.114265) (xy 69.657783 95.089145) (xy 69.670412 95.0885) + (xy 70.525331 95.0885) (xy 70.525347 95.088501) (xy 70.532943 95.088501) (xy 70.691054 95.088501) + (xy 70.691057 95.088501) (xy 70.843785 95.047577) (xy 70.843787 95.047575) (xy 70.843789 95.047575) + (xy 70.84379 95.047574) (xy 70.89464 95.018216) (xy 70.894641 95.018215) (xy 70.980716 94.96852) + (xy 71.09252 94.856716) (xy 71.09252 94.856714) (xy 71.102724 94.846511) (xy 71.102728 94.846506) + (xy 71.310353 94.63888) (xy 71.371674 94.605397) (xy 71.405034 94.603694) (xy 71.405034 94.603352) + (xy 71.411741 94.603352) (xy 71.411917 94.603343) (xy 71.411997 94.603352) (xy 71.411999 94.603352) + (xy 71.412002 94.603352) (xy 71.591248 94.583156) (xy 71.591251 94.583155) (xy 71.591254 94.583155) + (xy 71.761521 94.523576) (xy 71.914261 94.427603) (xy 72.041815 94.300049) (xy 72.137788 94.147309) + (xy 72.197367 93.977042) (xy 72.205635 93.903664) (xy 72.217564 93.79779) (xy 72.217564 93.797783) + (xy 72.197368 93.618537) (xy 72.197367 93.618532) (xy 72.148101 93.477738) (xy 72.137788 93.448265) + (xy 72.096966 93.383298) (xy 72.047633 93.304784) (xy 72.028633 93.237547) (xy 72.049001 93.170712) + (xy 72.064941 93.151136) (xy 77.329789 87.886289) (xy 77.331318 87.884) (xy 77.417394 87.755179) + (xy 77.477737 87.609497) (xy 77.496477 87.515284) (xy 77.506539 87.464701) (xy 77.5085 87.454844) + (xy 77.5085 85.507576) (xy 77.528185 85.440537) (xy 77.580989 85.394782) (xy 77.650147 85.384838) + (xy 77.713703 85.413863) (xy 77.750206 85.468572) (xy 77.763641 85.509118) (xy 77.763643 85.509123) + (xy 77.855684 85.658344) (xy 77.979655 85.782315) (xy 78.128876 85.874356) (xy 78.128881 85.874358) + (xy 78.295303 85.929505) (xy 78.29531 85.929506) (xy 78.398014 85.939999) (xy 78.398027 85.94) (xy 78.998 85.94) + (xy 78.998 83.014) (xy 79.017685 82.946961) (xy 79.070489 82.901206) (xy 79.122 82.89) (xy 80.798 82.89) + (xy 80.798 81.040027) (xy 80.797999 81.040014) (xy 80.787506 80.93731) (xy 80.787505 80.937303) + (xy 80.732358 80.770881) (xy 80.732356 80.770876) (xy 80.640315 80.621655) (xy 80.530841 80.512181) + (xy 80.497356 80.450858) (xy 80.50234 80.381166) (xy 80.544212 80.325233) (xy 80.609676 80.300816) + (xy 80.618522 80.3005) (xy 81.14906 80.3005) (xy 81.216099 80.320185) (xy 81.236741 80.336819) (xy 83.706211 82.806289) + (xy 83.769064 82.869142) (xy 83.817712 82.91779) (xy 83.948814 83.00539) (xy 83.948827 83.005397) + (xy 84.094498 83.065735) (xy 84.094503 83.065737) (xy 84.249153 83.096499) (xy 84.249156 83.0965) + (xy 84.249158 83.0965) (xy 88.51706 83.0965) (xy 88.584099 83.116185) (xy 88.604741 83.132819) (xy 89.802211 84.330289) + (xy 89.903936 84.432014) (xy 89.913712 84.44179) (xy 90.044814 84.52939) (xy 90.044816 84.529391) + (xy 90.044821 84.529394) (xy 90.133653 84.566189) (xy 90.190502 84.589737) (xy 90.313078 84.614119) + (xy 90.345152 84.620499) (xy 90.345155 84.6205) (xy 90.345157 84.6205) (xy 90.345158 84.6205) (xy 93.908282 84.6205) + (xy 93.975321 84.640185) (xy 94.013821 84.679404) (xy 94.042508 84.725914) (xy 94.060948 84.793307) + (xy 94.040025 84.85997) (xy 94.024651 84.878691) (xy 93.76116 85.142182) (xy 93.69984 85.175666) + (xy 93.673481 85.1785) (xy 93.024298 85.1785) (xy 92.987432 85.181401) (xy 92.987426 85.181402) + (xy 92.829606 85.227254) (xy 92.829603 85.227255) (xy 92.688137 85.310917) (xy 92.688129 85.310923) + (xy 92.571923 85.427129) (xy 92.571917 85.427137) (xy 92.488255 85.568603) (xy 92.488254 85.568606) + (xy 92.442402 85.726426) (xy 92.442401 85.726432) (xy 92.4395 85.763298) (xy 92.4395 86.194701) + (xy 92.442401 86.231567) (xy 92.442402 86.231573) (xy 92.488254 86.389393) (xy 92.488255 86.389396) + (xy 92.571917 86.530862) (xy 92.576702 86.537031) (xy 92.574256 86.538927) (xy 92.600857 86.587642) + (xy 92.595873 86.657334) (xy 92.575069 86.689703) (xy 92.576702 86.690969) (xy 92.571917 86.697137) + (xy 92.488255 86.838603) (xy 92.488254 86.838606) (xy 92.442402 86.996426) (xy 92.442401 86.996432) + (xy 92.4395 87.033298) (xy 92.4395 87.464701) (xy 92.442401 87.501567) (xy 92.442402 87.501573) + (xy 92.488254 87.659393) (xy 92.488255 87.659396) (xy 92.488256 87.659398) (xy 92.515074 87.704745) + (xy 92.571917 87.800862) (xy 92.576702 87.807031) (xy 92.574256 87.808927) (xy 92.600857 87.857642) + (xy 92.595873 87.927334) (xy 92.575069 87.959703) (xy 92.576702 87.960969) (xy 92.571917 87.967137) + (xy 92.488255 88.108603) (xy 92.488254 88.108606) (xy 92.442402 88.266426) (xy 92.442401 88.266432) + (xy 92.4395 88.303298) (xy 92.4395 88.734701) (xy 92.442401 88.771567) (xy 92.442402 88.771573) + (xy 92.488254 88.929393) (xy 92.488257 88.9294) (xy 92.530825 89.00138) (xy 92.548008 89.069104) + (xy 92.525848 89.135366) (xy 92.471381 89.179129) (xy 92.424093 89.1885) (xy 90.805702 89.1885) + (xy 90.764748 89.181542) (xy 90.619252 89.130631) (xy 90.619247 89.13063) (xy 90.484747 89.115476) + (xy 90.420333 89.088409) (xy 90.380778 89.030815) (xy 90.378641 88.960978) (xy 90.388785 88.936613) + (xy 90.388647 88.936554) (xy 90.391739 88.929405) (xy 90.391744 88.929398) (xy 90.437598 88.771569) + (xy 90.4405 88.734694) (xy 90.4405 88.303306) (xy 90.437598 88.266431) (xy 90.435806 88.260264) + (xy 90.391745 88.108606) (xy 90.391744 88.108603) (xy 90.391744 88.108602) (xy 90.308081 87.967135) + (xy 90.308078 87.967132) (xy 90.303298 87.960969) (xy 90.30575 87.959066) (xy 90.279155 87.910421) + (xy 90.284104 87.840726) (xy 90.30494 87.808304) (xy 90.303298 87.807031) (xy 90.308075 87.80087) + (xy 90.308081 87.800865) (xy 90.391744 87.659398) (xy 90.437598 87.501569) (xy 90.4405 87.464694) + (xy 90.4405 87.033306) (xy 90.437598 86.996431) (xy 90.434568 86.986003) (xy 90.391745 86.838606) + (xy 90.391744 86.838603) (xy 90.391744 86.838602) (xy 90.308081 86.697135) (xy 90.308078 86.697132) + (xy 90.303298 86.690969) (xy 90.305635 86.689155) (xy 90.278798 86.64005) (xy 90.283756 86.570356) + (xy 90.304554 86.537998) (xy 90.302903 86.536717) (xy 90.307686 86.53055) (xy 90.391281 86.389198) + (xy 90.4371 86.231486) (xy 90.437295 86.229001) (xy 90.437295 86.229) (xy 87.492705 86.229) (xy 87.492704 86.229001) + (xy 87.492899 86.231486) (xy 87.538718 86.389198) (xy 87.581406 86.461379) (xy 87.598589 86.529103) + (xy 87.576429 86.595365) (xy 87.521963 86.639129) (xy 87.474674 86.6485) (xy 87.08167 86.6485) (xy 87.081654 86.648499) + (xy 87.074058 86.648499) (xy 86.915943 86.648499) (xy 86.839579 86.668961) (xy 86.763214 86.689423) + (xy 86.763209 86.689426) (xy 86.62629 86.768475) (xy 86.626282 86.768481) (xy 86.341465 87.053298) + (xy 86.280142 87.086783) (xy 86.267668 87.088837) (xy 86.18075 87.09863) (xy 86.010478 87.15821) + (xy 85.857737 87.254184) (xy 85.730184 87.381737) (xy 85.634211 87.534476) (xy 85.574631 87.704745) + (xy 85.57463 87.704749) (xy 85.556613 87.864662) (xy 85.529546 87.929076) (xy 85.495393 87.958165) + (xy 85.356284 88.038479) (xy 85.325461 88.069301) (xy 85.264137 88.102784) (xy 85.251666 88.104837) + (xy 85.16475 88.11463) (xy 84.994478 88.17421) (xy 84.841737 88.270184) (xy 84.714184 88.397737) + (xy 84.618211 88.550476) (xy 84.558631 88.720745) (xy 84.55863 88.72075) (xy 84.538435 88.899996) + (xy 84.538435 88.900004) (xy 84.546654 88.972954) (xy 84.534599 89.041776) (xy 84.48725 89.093155) + (xy 84.419639 89.110779) (xy 84.353234 89.089052) (xy 84.335753 89.074518) (xy 83.557818 88.296583) + (xy 83.524333 88.23526) (xy 83.521499 88.208902) (xy 83.521499 87.496498) (xy 83.521498 87.496481) + (xy 83.510999 87.393703) (xy 83.510998 87.3937) (xy 83.501839 87.36606) (xy 83.455814 87.227166) + (xy 83.363712 87.077844) (xy 83.239656 86.953788) (xy 83.236342 86.951743) (xy 83.234546 86.949748) + (xy 83.233989 86.949307) (xy 83.234064 86.949211) (xy 83.189618 86.899797) (xy 83.178397 86.830834) + (xy 83.20624 86.766752) (xy 83.236348 86.740665) (xy 83.239342 86.738818) (xy 83.363315 86.614845) + (xy 83.455356 86.465624) (xy 83.455358 86.465619) (xy 83.510505 86.299197) (xy 83.510506 86.29919) + (xy 83.520999 86.196486) (xy 83.521 86.196473) (xy 83.521 86.059) (xy 81.071001 86.059) (xy 81.071001 86.196488) + (xy 81.074997 86.235598) (xy 81.062227 86.30429) (xy 81.014346 86.355175) (xy 80.946556 86.372095) + (xy 80.88038 86.349679) (xy 80.863958 86.33588) (xy 80.76626 86.238182) (xy 80.766257 86.23818) + (xy 80.7009 86.197114) (xy 80.697982 86.195223) (xy 80.643179 86.158605) (xy 80.643173 86.158603) + (xy 80.642365 86.158268) (xy 80.623865 86.148709) (xy 80.613524 86.142212) (xy 80.613523 86.142211) + (xy 80.613522 86.142211) (xy 80.552867 86.120986) (xy 80.546377 86.118509) (xy 80.546372 86.118507) + (xy 80.53633 86.114347) (xy 80.497496 86.098262) (xy 80.48386 86.09555) (xy 80.467104 86.090976) + (xy 80.443261 86.082634) (xy 80.44326 86.082633) (xy 80.443255 86.082632) (xy 80.443249 86.082631) + (xy 80.43654 86.0811) (xy 80.375562 86.04699) (xy 80.342706 85.985328) (xy 80.348402 85.915691) + (xy 80.390843 85.860188) (xy 80.399039 85.85467) (xy 80.516342 85.782317) (xy 80.569661 85.728998) + (xy 87.492704 85.728998) (xy 87.492705 85.729) (xy 88.715 85.729) (xy 89.215 85.729) (xy 90.437295 85.729) + (xy 90.437295 85.728998) (xy 90.4371 85.726513) (xy 90.391281 85.568801) (xy 90.307685 85.427447) + (xy 90.307678 85.427438) (xy 90.191561 85.311321) (xy 90.191552 85.311314) (xy 90.050196 85.227717) + (xy 90.050193 85.227716) (xy 89.892495 85.1819) (xy 89.892489 85.181899) (xy 89.855649 85.179) (xy 89.215 85.179) + (xy 89.215 85.729) (xy 88.715 85.729) (xy 88.715 85.179) (xy 88.07435 85.179) (xy 88.03751 85.181899) + (xy 88.037504 85.1819) (xy 87.879806 85.227716) (xy 87.879803 85.227717) (xy 87.738447 85.311314) + (xy 87.738438 85.311321) (xy 87.622321 85.427438) (xy 87.622314 85.427447) (xy 87.538718 85.568801) + (xy 87.492899 85.726513) (xy 87.492704 85.728998) (xy 80.569661 85.728998) (xy 80.640315 85.658344) + (xy 80.732356 85.509123) (xy 80.732358 85.509118) (xy 80.761388 85.421513) (xy 81.071 85.421513) + (xy 81.071 85.559) (xy 82.046 85.559) (xy 82.546 85.559) (xy 83.520999 85.559) (xy 83.520999 85.421528) + (xy 83.520998 85.421513) (xy 83.510505 85.318802) (xy 83.455358 85.15238) (xy 83.455356 85.152375) + (xy 83.363315 85.003154) (xy 83.239345 84.879184) (xy 83.090124 84.787143) (xy 83.090119 84.787141) + (xy 82.923697 84.731994) (xy 82.92369 84.731993) (xy 82.820986 84.7215) (xy 82.546 84.7215) (xy 82.546 85.559) + (xy 82.046 85.559) (xy 82.046 84.7215) (xy 81.771029 84.7215) (xy 81.771012 84.721501) (xy 81.668302 84.731994) + (xy 81.50188 84.787141) (xy 81.501875 84.787143) (xy 81.352654 84.879184) (xy 81.228684 85.003154) + (xy 81.136643 85.152375) (xy 81.136641 85.15238) (xy 81.081494 85.318802) (xy 81.081493 85.318809) + (xy 81.071 85.421513) (xy 80.761388 85.421513) (xy 80.787505 85.342696) (xy 80.787506 85.342689) + (xy 80.797999 85.239985) (xy 80.798 85.239972) (xy 80.798 83.39) (xy 79.498 83.39) (xy 79.498 85.94) + (xy 79.803215 85.94) (xy 79.870254 85.959685) (xy 79.916009 86.012489) (xy 79.925953 86.081647) + (xy 79.896928 86.145203) (xy 79.872103 86.167104) (xy 79.830046 86.195204) (xy 79.827132 86.197092) + (xy 79.761742 86.23818) (xy 79.761735 86.238186) (xy 79.634186 86.365735) (xy 79.63418 86.365742) + (xy 79.593092 86.431132) (xy 79.591209 86.434038) (xy 79.572942 86.461379) (xy 79.554604 86.488824) + (xy 79.5546 86.488832) (xy 79.554266 86.489639) (xy 79.544713 86.508127) (xy 79.538212 86.518474) + (xy 79.53821 86.518477) (xy 79.516982 86.57914) (xy 79.514504 86.585631) (xy 79.494264 86.634496) + (xy 79.494263 86.634502) (xy 79.49155 86.64814) (xy 79.486975 86.664898) (xy 79.478634 86.688735) + (xy 79.478632 86.688742) (xy 79.47289 86.739696) (xy 79.471288 86.749994) (xy 79.4635 86.789152) + (xy 79.4635 86.816085) (xy 79.46272 86.829969) (xy 79.458435 86.867998) (xy 79.458435 86.868001) + (xy 79.46272 86.906029) (xy 79.4635 86.919914) (xy 79.4635 86.946844) (xy 79.471288 86.986003) (xy 79.47289 86.996303) + (xy 79.478632 87.047252) (xy 79.478633 87.04726) (xy 79.486976 87.071104) (xy 79.49155 87.08786) + (xy 79.494262 87.101496) (xy 79.514509 87.150377) (xy 79.516986 87.156867) (xy 79.517456 87.158211) + (xy 79.538212 87.217524) (xy 79.544709 87.227865) (xy 79.554268 87.246365) (xy 79.554603 87.247173) + (xy 79.554605 87.247179) (xy 79.591223 87.301982) (xy 79.593114 87.3049) (xy 79.63418 87.370257) + (xy 79.634182 87.37026) (xy 79.634184 87.370262) (xy 79.642211 87.378289) (xy 80.658211 88.394289) + (xy 80.769711 88.505789) (xy 80.769712 88.50579) (xy 80.900814 88.59339) (xy 80.900827 88.593397) + (xy 81.046498 88.653735) (xy 81.046503 88.653737) (xy 81.135688 88.671477) (xy 81.191072 88.682494) + (xy 81.252983 88.714879) (xy 81.254562 88.71643) (xy 81.352344 88.814212) (xy 81.501666 88.906314) + (xy 81.668203 88.961499) (xy 81.770991 88.972) (xy 82.483402 88.971999) (xy 82.550441 88.991683) + (xy 82.571083 89.008318) (xy 83.716139 90.153374) (xy 83.716149 90.153385) (xy 83.720479 90.157715) + (xy 83.72048 90.157716) (xy 83.832284 90.26952) (xy 83.918513 90.319304) (xy 83.969215 90.348577) + (xy 84.121943 90.389501) (xy 84.121946 90.389501) (xy 84.287653 90.389501) (xy 84.287669 90.3895) + (xy 87.474093 90.3895) (xy 87.541132 90.409185) (xy 87.586887 90.461989) (xy 87.596831 90.531147) + (xy 87.580825 90.57662) (xy 87.538257 90.648599) (xy 87.538254 90.648606) (xy 87.492402 90.806426) + (xy 87.492401 90.806432) (xy 87.4895 90.843298) (xy 87.4895 91.274701) (xy 87.492401 91.311567) + (xy 87.492402 91.311573) (xy 87.538254 91.469393) (xy 87.538255 91.469396) (xy 87.621917 91.610862) + (xy 87.626702 91.617031) (xy 87.624256 91.618927) (xy 87.650857 91.667642) (xy 87.645873 91.737334) + (xy 87.625069 91.769703) (xy 87.626702 91.770969) (xy 87.621917 91.777137) (xy 87.538255 91.918603) + (xy 87.538254 91.918606) (xy 87.492402 92.076426) (xy 87.492401 92.076432) (xy 87.4895 92.113298) + (xy 87.4895 92.544701) (xy 87.492401 92.581567) (xy 87.492402 92.581573) (xy 87.538254 92.739393) + (xy 87.538257 92.7394) (xy 87.580825 92.81138) (xy 87.598008 92.879104) (xy 87.575848 92.945366) + (xy 87.521381 92.989129) (xy 87.474093 92.9985) (xy 87.16994 92.9985) (xy 87.129019 93.009464) (xy 87.129019 93.009465) + (xy 87.091751 93.019451) (xy 87.017214 93.039423) (xy 87.017209 93.039426) (xy 86.880285 93.118478) + (xy 86.849461 93.149301) (xy 86.788137 93.182784) (xy 86.775666 93.184837) (xy 86.68875 93.19463) + (xy 86.518478 93.25421) (xy 86.365737 93.350184) (xy 86.238184 93.477737) (xy 86.14221 93.630478) + (xy 86.10831 93.727361) (xy 86.067588 93.784138) (xy 86.002635 93.809885) (xy 85.934074 93.796429) + (xy 85.903587 93.774088) (xy 82.362039 90.232538) (xy 82.362038 90.232537) (xy 82.341395 90.218744) + (xy 82.280023 90.177737) (xy 82.214547 90.133987) (xy 82.21454 90.133983) (xy 82.120424 90.095) + (xy 82.050666 90.066105) (xy 82.050658 90.066103) (xy 81.876696 90.0315) (xy 81.876692 90.0315) + (xy 81.876691 90.0315) (xy 80.683309 90.0315) (xy 80.683306 90.0315) (xy 80.509341 90.066103) (xy 80.509332 90.066106) + (xy 80.345459 90.133983) (xy 80.345446 90.13399) (xy 80.197965 90.232535) (xy 80.197961 90.232538) + (xy 80.072538 90.357961) (xy 80.072535 90.357965) (xy 79.97399 90.505446) (xy 79.973983 90.505459) + (xy 79.906106 90.669332) (xy 79.906103 90.669341) (xy 79.8715 90.843304) (xy 79.8715 91.020695) + (xy 79.906103 91.194658) (xy 79.906106 91.194667) (xy 79.973983 91.35854) (xy 79.97399 91.358553) + (xy 80.072535 91.506034) (xy 80.072538 91.506038) (xy 80.197961 91.631461) (xy 80.197965 91.631464) + (xy 80.345446 91.730009) (xy 80.345459 91.730016) (xy 80.459217 91.777135) (xy 80.509334 91.797894) + (xy 80.509336 91.797894) (xy 80.509341 91.797896) (xy 80.683304 91.832499) (xy 80.683307 91.8325) + (xy 80.683309 91.8325) (xy 81.363638 91.8325) (xy 81.430677 91.852185) (xy 81.451319 91.868819) + (xy 82.750319 93.167819) (xy 82.783804 93.229142) (xy 82.77882 93.298834) (xy 82.736948 93.354767) + (xy 82.671484 93.379184) (xy 82.662638 93.3795) (xy 81.580097 93.3795) (xy 81.513058 93.359815) + (xy 81.492416 93.343181) (xy 81.25959 93.110355) (xy 81.259588 93.110352) (xy 81.140717 92.991481) + (xy 81.140709 92.991475) (xy 81.038936 92.932717) (xy 81.038934 92.932716) (xy 81.00379 92.912425) + (xy 81.003789 92.912424) (xy 80.991263 92.909067) (xy 80.851057 92.871499) (xy 80.692943 92.871499) + (xy 80.685347 92.871499) (xy 80.685331 92.8715) (xy 77.290412 92.8715) (xy 77.223373 92.851815) + (xy 77.213097 92.844445) (xy 77.210263 92.842185) (xy 77.210262 92.842184) (xy 77.153496 92.806515) + (xy 77.057523 92.746211) (xy 76.887254 92.686631) (xy 76.887249 92.68663) (xy 76.708004 92.666435) + (xy 76.707996 92.666435) (xy 76.52875 92.68663) (xy 76.528745 92.686631) (xy 76.358476 92.746211) + (xy 76.205736 92.842185) (xy 76.202903 92.844445) (xy 76.200724 92.845334) (xy 76.199842 92.845889) + (xy 76.199744 92.845734) (xy 76.138217 92.870855) (xy 76.125588 92.8715) (xy 75.612943 92.8715) + (xy 75.460216 92.912423) (xy 75.460209 92.912426) (xy 75.32329 92.991475) (xy 75.323282 92.991481) + (xy 75.211481 93.103282) (xy 75.211475 93.10329) (xy 75.132426 93.240209) (xy 75.132423 93.240216) + (xy 75.0915 93.392943) (xy 75.0915 95.454719) (xy 75.071815 95.521758) (xy 75.019011 95.567513) + (xy 74.949853 95.577457) (xy 74.886297 95.548432) (xy 74.862506 95.520691) (xy 74.804 95.42758) + (xy 74.797816 95.417738) (xy 74.670262 95.290184) (xy 74.577668 95.232003) (xy 74.517523 95.194211) + (xy 74.347254 95.134631) (xy 74.347249 95.13463) (xy 74.168004 95.114435) (xy 74.167996 95.114435) + (xy 73.98875 95.13463) (xy 73.988745 95.134631) (xy 73.818476 95.194211) (xy 73.665737 95.290184) + (xy 73.580741 95.375181) (xy 73.519418 95.408666) (xy 73.49306 95.4115) (xy 73.072941 95.4115) (xy 73.037991 95.420864) + (xy 73.037992 95.420865) (xy 72.920214 95.452423) (xy 72.920209 95.452426) (xy 72.78329 95.531475) + (xy 72.783282 95.531481) (xy 72.720106 95.594658) (xy 72.67148 95.643284) (xy 72.671478 95.643286) + (xy 72.537612 95.777153) (xy 72.431584 95.883181) (xy 72.370261 95.916666) (xy 72.343903 95.9195) + (xy 69.516943 95.9195) (xy 69.364216 95.960423) (xy 69.364209 95.960426) (xy 69.22729 96.039475) + (xy 69.227282 96.039481) (xy 69.115481 96.151282) (xy 69.115477 96.151287) (xy 69.104526 96.170256) + (xy 69.053959 96.218472) (xy 68.985352 96.231694) (xy 68.920487 96.205726) (xy 68.892148 96.174232) + (xy 68.889789 96.170478) (xy 68.88329 96.160136) (xy 68.873717 96.141603) (xy 68.873394 96.140823) + (xy 68.873392 96.14082) (xy 68.866168 96.130009) (xy 68.836791 96.086043) (xy 68.834926 96.083166) + (xy 68.793816 96.017738) (xy 68.666262 95.890184) (xy 68.600842 95.849078) (xy 68.597923 95.847186) + (xy 68.543179 95.810607) (xy 68.543162 95.8106) (xy 68.542381 95.810276) (xy 68.523869 95.800712) + (xy 68.513524 95.794212) (xy 68.513521 95.79421) (xy 68.452856 95.772982) (xy 68.446363 95.770503) + (xy 68.397497 95.750263) (xy 68.397493 95.750262) (xy 68.38386 95.74755) (xy 68.367104 95.742976) + (xy 68.34326 95.734633) (xy 68.343256 95.734632) (xy 68.343255 95.734632) (xy 68.322575 95.732301) + (xy 68.292303 95.72889) (xy 68.282003 95.727288) (xy 68.242844 95.7195) (xy 68.242842 95.7195) (xy 68.215915 95.7195) + (xy 68.202031 95.71872) (xy 68.164002 95.714435) (xy 68.163998 95.714435) (xy 68.125969 95.71872) + (xy 68.112085 95.7195) (xy 68.085154 95.7195) (xy 68.045996 95.727288) (xy 68.035696 95.72889) (xy 67.984741 95.734632) + (xy 67.960895 95.742976) (xy 67.944142 95.747549) (xy 67.930503 95.750262) (xy 67.9305 95.750263) + (xy 67.881628 95.770507) (xy 67.875131 95.772987) (xy 67.814476 95.794211) (xy 67.804127 95.800714) + (xy 67.785627 95.810272) (xy 67.784825 95.810604) (xy 67.78482 95.810606) (xy 67.730065 95.847192) + (xy 67.72715 95.849082) (xy 67.661736 95.890185) (xy 67.661735 95.890186) (xy 67.360741 96.191181) + (xy 67.299418 96.224666) (xy 67.27306 96.2275) (xy 66.599915 96.2275) (xy 66.586031 96.22672) (xy 66.548002 96.222435) + (xy 66.547998 96.222435) (xy 66.509969 96.22672) (xy 66.496085 96.2275) (xy 66.469152 96.2275) (xy 66.429994 96.235288) + (xy 66.419696 96.23689) (xy 66.368742 96.242632) (xy 66.368735 96.242634) (xy 66.344898 96.250975) + (xy 66.32814 96.25555) (xy 66.314502 96.258263) (xy 66.314496 96.258264) (xy 66.265631 96.278504) + (xy 66.25914 96.280982) (xy 66.198477 96.30221) (xy 66.198474 96.302212) (xy 66.188127 96.308713) + (xy 66.169639 96.318266) (xy 66.168832 96.3186) (xy 66.168824 96.318604) (xy 66.114047 96.355204) + (xy 66.111132 96.357092) (xy 66.045742 96.39818) (xy 66.045735 96.398186) (xy 65.918186 96.525735) + (xy 65.91818 96.525742) (xy 65.877092 96.591132) (xy 65.875204 96.594047) (xy 65.838604 96.648824) + (xy 65.8386 96.648832) (xy 65.838266 96.649639) (xy 65.828713 96.668127) (xy 65.822212 96.678474) + (xy 65.82221 96.678477) (xy 65.800982 96.73914) (xy 65.798504 96.745631) (xy 65.778264 96.794496) + (xy 65.778263 96.794502) (xy 65.77555 96.80814) (xy 65.770975 96.824898) (xy 65.762634 96.848735) + (xy 65.762632 96.848742) (xy 65.75689 96.899696) (xy 65.755288 96.909994) (xy 65.7475 96.949152) + (xy 65.7475 96.976085) (xy 65.74672 96.989969) (xy 65.742435 97.027998) (xy 65.742435 97.028001) + (xy 65.74672 97.066029) (xy 65.7475 97.079914) (xy 65.7475 97.106844) (xy 65.755288 97.146003) (xy 65.75689 97.156303) + (xy 65.762632 97.207252) (xy 65.762633 97.20726) (xy 65.770976 97.231104) (xy 65.77555 97.24786) + (xy 65.778262 97.261496) (xy 65.798509 97.310377) (xy 65.800986 97.316867) (xy 65.822211 97.377522) + (xy 65.828709 97.387865) (xy 65.838268 97.406365) (xy 65.838603 97.407173) (xy 65.838605 97.407179) + (xy 65.875223 97.461982) (xy 65.877114 97.4649) (xy 65.91818 97.530257) (xy 65.918182 97.53026) + (xy 65.918184 97.530262) (xy 66.045738 97.657816) (xy 66.111131 97.698905) (xy 66.11404 97.70079) + (xy 66.168821 97.737394) (xy 66.169606 97.737719) (xy 66.188134 97.747289) (xy 66.198478 97.753789) + (xy 66.259175 97.775028) (xy 66.265607 97.777484) (xy 66.294021 97.789253) (xy 66.314497 97.797735) + (xy 66.314498 97.797735) (xy 66.314503 97.797737) (xy 66.328139 97.800449) (xy 66.344898 97.805023) + (xy 66.368745 97.813368) (xy 66.419714 97.81911) (xy 66.429992 97.820709) (xy 66.45714 97.826109) + (xy 66.469157 97.8285) (xy 66.469158 97.8285) (xy 66.496085 97.8285) (xy 66.509969 97.82928) (xy 66.547998 97.833565) + (xy 66.548 97.833565) (xy 66.548002 97.833565) (xy 66.586031 97.82928) (xy 66.599915 97.8285) (xy 66.662132 97.8285) + (xy 66.729171 97.848185) (xy 66.774926 97.900989) (xy 66.78487 97.970147) (xy 66.755845 98.033703) + (xy 66.733256 98.054075) (xy 66.724856 98.059956) (xy 66.563954 98.220858) (xy 66.433432 98.407265) + (xy 66.433431 98.407267) (xy 66.337261 98.613502) (xy 66.337258 98.613511) (xy 66.278366 98.833302) + (xy 66.278364 98.833313) (xy 66.258532 99.059998) (xy 66.258532 99.060001) (xy 66.278364 99.286686) + (xy 66.278366 99.286697) (xy 66.337258 99.506488) (xy 66.337261 99.506497) (xy 66.433431 99.712732) + (xy 66.433432 99.712734) (xy 66.563954 99.899141) (xy 66.724858 100.060045) (xy 66.724861 100.060047) + (xy 66.911266 100.190568) (xy 66.969275 100.217618) (xy 67.021714 100.263791) (xy 67.040866 100.330984) + (xy 67.02065 100.397865) (xy 66.969275 100.442382) (xy 66.911267 100.469431) (xy 66.911265 100.469432) + (xy 66.724858 100.599954) (xy 66.563954 100.760858) (xy 66.433432 100.947265) (xy 66.433431 100.947267) + (xy 66.337261 101.153502) (xy 66.337258 101.153511) (xy 66.278366 101.373302) (xy 66.278364 101.373313) + (xy 66.258532 101.599998) (xy 66.258532 101.600001) (xy 66.278364 101.826686) (xy 66.278366 101.826697) + (xy 66.337258 102.046488) (xy 66.337261 102.046497) (xy 66.433431 102.252732) (xy 66.433432 102.252734) + (xy 66.563954 102.439141) (xy 66.724858 102.600045) (xy 66.724861 102.600047) (xy 66.911266 102.730568) + (xy 66.969275 102.757618) (xy 67.021714 102.803791) (xy 67.040866 102.870984) (xy 67.02065 102.937865) + (xy 66.969275 102.982382) (xy 66.911267 103.009431) (xy 66.911265 103.009432) (xy 66.724858 103.139954) + (xy 66.563954 103.300858) (xy 66.433432 103.487265) (xy 66.433431 103.487267) (xy 66.337261 103.693502) + (xy 66.337258 103.693511) (xy 66.278366 103.913302) (xy 66.278364 103.913313) (xy 66.258532 104.139998) + (xy 66.258532 104.140001) (xy 66.278364 104.366686) (xy 66.278366 104.366697) (xy 66.337258 104.586488) + (xy 66.337261 104.586497) (xy 66.433431 104.792732) (xy 66.433432 104.792734) (xy 66.563954 104.979141) + (xy 66.724858 105.140045) (xy 66.724861 105.140047) (xy 66.911266 105.270568) (xy 66.969275 105.297618) + (xy 67.021714 105.343791) (xy 67.040866 105.410984) (xy 67.02065 105.477865) (xy 66.969275 105.522382) + (xy 66.911267 105.549431) (xy 66.911265 105.549432) (xy 66.724858 105.679954) (xy 66.563954 105.840858) + (xy 66.433432 106.027265) (xy 66.433431 106.027267) (xy 66.337261 106.233502) (xy 66.337258 106.233511) + (xy 66.278366 106.453302) (xy 66.278364 106.453313) (xy 66.258532 106.679998) (xy 66.258532 106.680001) + (xy 66.278364 106.906686) (xy 66.278366 106.906697) (xy 66.337258 107.126488) (xy 66.337261 107.126497) + (xy 66.433431 107.332732) (xy 66.433432 107.332734) (xy 66.563954 107.519141) (xy 66.724858 107.680045) + (xy 66.724861 107.680047) (xy 66.911266 107.810568) (xy 66.964274 107.835286) (xy 66.969275 107.837618) + (xy 67.021714 107.883791) (xy 67.040866 107.950984) (xy 67.02065 108.017865) (xy 66.969275 108.062381) + (xy 66.964267 108.064717) (xy 66.911267 108.089431) (xy 66.911265 108.089432) (xy 66.724858 108.219954) + (xy 66.563954 108.380858) (xy 66.433432 108.567265) (xy 66.433431 108.567267) (xy 66.337261 108.773502) + (xy 66.337258 108.773511) (xy 66.278366 108.993302) (xy 66.278364 108.993313) (xy 66.258532 109.219998) + (xy 66.258532 109.220001) (xy 66.278364 109.446686) (xy 66.278366 109.446697) (xy 66.304152 109.542931) + (xy 66.302489 109.612781) (xy 66.272058 109.662705) (xy 64.673286 111.261478) (xy 64.561481 111.373282) + (xy 64.561479 111.373284) (xy 64.547007 111.398352) (xy 64.534312 111.420341) (xy 64.528011 111.431255) + (xy 64.484857 111.506) (xy 64.482423 111.510215) (xy 64.441499 111.662943) (xy 64.441499 111.662945) + (xy 64.441499 111.831046) (xy 64.4415 111.831059) (xy 64.4415 112.560942) (xy 64.421815 112.627981) + (xy 64.382598 112.666479) (xy 64.296209 112.719764) (xy 64.248342 112.749289) (xy 64.124285 112.873346) + (xy 64.122537 112.876182) (xy 64.120829 112.877717) (xy 64.119807 112.879011) (xy 64.119585 112.878836) + (xy 64.070589 112.922905) (xy 64.001626 112.934126) (xy 63.937544 112.906282) (xy 63.911463 112.876182) + (xy 63.909714 112.873346) (xy 63.785657 112.749289) (xy 63.785656 112.749288) (xy 63.692888 112.692069) + (xy 63.636336 112.657187) (xy 63.636331 112.657185) (xy 63.634614 112.656616) (xy 63.469797 112.602001) + (xy 63.469795 112.602) (xy 63.36701 112.5915) (xy 62.616998 112.5915) (xy 62.61698 112.591501) (xy 62.514203 112.602) + (xy 62.5142 112.602001) (xy 62.347668 112.657185) (xy 62.347659 112.657189) (xy 62.257596 112.712741) + (xy 62.190204 112.731181) (xy 62.12354 112.710258) (xy 62.078771 112.656616) (xy 62.0685 112.607202) + (xy 62.0685 111.834412) (xy 62.088185 111.767373) (xy 62.095555 111.757097) (xy 62.09781 111.754267) + (xy 62.097816 111.754262) (xy 62.193789 111.601522) (xy 62.253368 111.431255) (xy 62.255709 111.410478) + (xy 62.273565 111.252003) (xy 62.273565 111.251996) (xy 62.253369 111.07275) (xy 62.253368 111.072745) + (xy 62.228221 111.000879) (xy 62.193789 110.902478) (xy 62.184288 110.887358) (xy 62.104235 110.759954) + (xy 62.097816 110.749738) (xy 61.970262 110.622184) (xy 61.9515 110.610395) (xy 61.817523 110.526211) + (xy 61.647254 110.466631) (xy 61.647249 110.46663) (xy 61.468004 110.446435) (xy 61.467996 110.446435) + (xy 61.28875 110.46663) (xy 61.288745 110.466631) (xy 61.118476 110.526211) (xy 60.965733 110.622186) + (xy 60.961396 110.625646) (xy 60.896708 110.652053) (xy 60.828013 110.639295) (xy 60.777121 110.591423) + (xy 60.760189 110.523636) (xy 60.764311 110.496606) (xy 60.771063 110.471408) (xy 60.791659 110.236) + (xy 60.771063 110.000592) (xy 60.709903 109.772337) (xy 60.610035 109.558171) (xy 60.593784 109.534961) + (xy 60.474494 109.364597) (xy 60.307402 109.197506) (xy 60.307396 109.197501) (xy 60.121842 109.067575) + (xy 60.078217 109.012998) (xy 60.071023 108.9435) (xy 60.102546 108.881145) (xy 60.121842 108.864425) + (xy 60.168785 108.831555) (xy 60.307401 108.734495) (xy 60.474495 108.567401) (xy 60.610035 108.37383) + (xy 60.709903 108.159663) (xy 60.771063 107.931408) (xy 60.791659 107.696) (xy 60.771063 107.460592) + (xy 60.709903 107.232337) (xy 60.610035 107.018171) (xy 60.603439 107.00875) (xy 60.474494 106.824597) + (xy 60.307402 106.657506) (xy 60.307396 106.657501) (xy 60.121842 106.527575) (xy 60.078217 106.472998) + (xy 60.071023 106.4035) (xy 60.102546 106.341145) (xy 60.121842 106.324425) (xy 60.206835 106.264912) + (xy 60.307401 106.194495) (xy 60.474495 106.027401) (xy 60.610035 105.83383) (xy 60.709903 105.619663) + (xy 60.771063 105.391408) (xy 60.791659 105.156) (xy 60.771063 104.920592) (xy 60.709903 104.692337) + (xy 60.610035 104.478171) (xy 60.575513 104.428867) (xy 60.474494 104.284597) (xy 60.307402 104.117506) + (xy 60.307401 104.117505) (xy 60.121405 103.987269) (xy 60.077781 103.932692) (xy 60.070588 103.863193) + (xy 60.10211 103.800839) (xy 60.121405 103.784119) (xy 60.307082 103.654105) (xy 60.474105 103.487082) + (xy 60.6096 103.293578) (xy 60.709429 103.079492) (xy 60.709432 103.079486) (xy 60.766636 102.866) + (xy 59.869012 102.866) (xy 59.901925 102.808993) (xy 59.936 102.681826) (xy 59.936 102.550174) (xy 59.901925 102.423007) + (xy 59.869012 102.366) (xy 60.766636 102.366) (xy 60.766635 102.365999) (xy 60.709432 102.152513) + (xy 60.709429 102.152507) (xy 60.6096 101.938422) (xy 60.609599 101.93842) (xy 60.474113 101.744926) + (xy 60.474108 101.74492) (xy 60.307082 101.577894) (xy 60.120968 101.447575) (xy 60.077344 101.392998) + (xy 60.070151 101.323499) (xy 60.101673 101.261145) (xy 60.120968 101.244425) (xy 60.307082 101.114105) + (xy 60.474105 100.947082) (xy 60.6096 100.753578) (xy 60.709429 100.539492) (xy 60.709432 100.539486) + (xy 60.766636 100.326) (xy 59.869012 100.326) (xy 59.901925 100.268993) (xy 59.936 100.141826) (xy 59.936 100.010174) + (xy 59.901925 99.883007) (xy 59.869012 99.826) (xy 60.766636 99.826) (xy 60.766635 99.825999) (xy 60.709432 99.612513) + (xy 60.709429 99.612507) (xy 60.6096 99.398422) (xy 60.609599 99.39842) (xy 60.474113 99.204926) + (xy 60.474108 99.20492) (xy 60.352053 99.082865) (xy 60.318568 99.021542) (xy 60.323552 98.95185) + (xy 60.365424 98.895917) (xy 60.3964 98.879002) (xy 60.505434 98.838336) (xy 60.528326 98.829798) + (xy 60.528326 98.829797) (xy 60.528331 98.829796) (xy 60.643546 98.743546) (xy 60.729796 98.628331) + (xy 60.780091 98.493483) (xy 60.7865 98.433873) (xy 60.786499 97.777937) (xy 60.806183 97.7109) + (xy 60.858987 97.665145) (xy 60.928146 97.655201) (xy 60.991702 97.684226) (xy 60.99818 97.690258) + (xy 62.155181 98.847259) (xy 62.188666 98.908582) (xy 62.1915 98.93494) (xy 62.1915 104.088085) + (xy 62.19072 104.101969) (xy 62.186435 104.139998) (xy 62.186435 104.140001) (xy 62.19072 104.178029) + (xy 62.1915 104.191914) (xy 62.1915 104.218844) (xy 62.199288 104.258003) (xy 62.20089 104.268303) + (xy 62.206632 104.319252) (xy 62.206633 104.31926) (xy 62.214976 104.343104) (xy 62.21955 104.35986) + (xy 62.222262 104.373496) (xy 62.242509 104.422377) (xy 62.244986 104.428867) (xy 62.262238 104.478169) + (xy 62.266212 104.489524) (xy 62.272709 104.499865) (xy 62.282268 104.518365) (xy 62.282603 104.519173) + (xy 62.282605 104.519179) (xy 62.319223 104.573982) (xy 62.321114 104.5769) (xy 62.36218 104.642257) + (xy 62.362182 104.64226) (xy 62.362184 104.642262) (xy 62.489738 104.769816) (xy 62.526209 104.792732) + (xy 62.555131 104.810905) (xy 62.55804 104.81279) (xy 62.612821 104.849394) (xy 62.613606 104.849719) + (xy 62.632134 104.859289) (xy 62.642478 104.865789) (xy 62.703175 104.887028) (xy 62.709607 104.889484) + (xy 62.730167 104.898) (xy 62.758497 104.909735) (xy 62.758498 104.909735) (xy 62.758503 104.909737) + (xy 62.772139 104.912449) (xy 62.788898 104.917023) (xy 62.812745 104.925368) (xy 62.863714 104.93111) + (xy 62.873992 104.932709) (xy 62.90114 104.938109) (xy 62.913157 104.9405) (xy 62.913158 104.9405) + (xy 62.940085 104.9405) (xy 62.953969 104.94128) (xy 62.991998 104.945565) (xy 62.992 104.945565) + (xy 62.992002 104.945565) (xy 63.030031 104.94128) (xy 63.043915 104.9405) (xy 63.070841 104.9405) + (xy 63.070842 104.9405) (xy 63.110017 104.932707) (xy 63.120283 104.93111) (xy 63.171255 104.925368) + (xy 63.1951 104.917023) (xy 63.211862 104.912448) (xy 63.225497 104.909737) (xy 63.274389 104.889484) + (xy 63.280837 104.887023) (xy 63.341522 104.865789) (xy 63.351868 104.859287) (xy 63.37039 104.84972) + (xy 63.371179 104.849394) (xy 63.425987 104.812771) (xy 63.428825 104.810931) (xy 63.494262 104.769816) + (xy 63.621816 104.642262) (xy 63.662931 104.576825) (xy 63.664777 104.573979) (xy 63.701389 104.519186) + (xy 63.701394 104.519179) (xy 63.70172 104.51839) (xy 63.711287 104.499868) (xy 63.717789 104.489522) + (xy 63.739023 104.428837) (xy 63.74149 104.422377) (xy 63.761737 104.373497) (xy 63.764449 104.35986) + (xy 63.769023 104.3431) (xy 63.777368 104.319255) (xy 63.78311 104.268283) (xy 63.784707 104.258017) + (xy 63.7925 104.218842) (xy 63.7925 104.191914) (xy 63.79328 104.178029) (xy 63.797565 104.140001) + (xy 63.797565 104.139998) (xy 63.79328 104.101969) (xy 63.7925 104.088085) (xy 63.7925 98.473155) + (xy 63.792499 98.473153) (xy 63.779393 98.407265) (xy 63.761737 98.318503) (xy 63.72461 98.228869) + (xy 63.701397 98.172827) (xy 63.70139 98.172814) (xy 63.61379 98.041712) (xy 63.571733 97.999655) + (xy 63.502289 97.930211) (xy 61.470289 95.898211) (xy 61.462262 95.890184) (xy 61.46226 95.890182) + (xy 61.462257 95.89018) (xy 61.3969 95.849114) (xy 61.393982 95.847223) (xy 61.339179 95.810605) + (xy 61.339173 95.810603) (xy 61.338365 95.810268) (xy 61.319865 95.800709) (xy 61.309524 95.794212) + (xy 61.309523 95.794211) (xy 61.309522 95.794211) (xy 61.248867 95.772986) (xy 61.242377 95.770509) + (xy 61.242372 95.770507) (xy 61.213512 95.758553) (xy 61.193496 95.750262) (xy 61.17986 95.74755) + (xy 61.163104 95.742976) (xy 61.13926 95.734633) (xy 61.139256 95.734632) (xy 61.139255 95.734632) + (xy 61.118575 95.732301) (xy 61.088303 95.72889) (xy 61.078003 95.727288) (xy 61.038844 95.7195) + (xy 61.038842 95.7195) (xy 61.011915 95.7195) (xy 60.998031 95.71872) (xy 60.960002 95.714435) (xy 60.959998 95.714435) + (xy 60.921969 95.71872) (xy 60.908085 95.7195) (xy 60.881152 95.7195) (xy 60.841994 95.727288) (xy 60.831696 95.72889) + (xy 60.780742 95.734632) (xy 60.780735 95.734634) (xy 60.756898 95.742975) (xy 60.74014 95.74755) + (xy 60.726502 95.750263) (xy 60.726496 95.750264) (xy 60.677631 95.770504) (xy 60.67114 95.772982) + (xy 60.610477 95.79421) (xy 60.610474 95.794212) (xy 60.600127 95.800713) (xy 60.581639 95.810266) + (xy 60.580832 95.8106) (xy 60.580824 95.810604) (xy 60.526047 95.847204) (xy 60.523132 95.849092) + (xy 60.457742 95.89018) (xy 60.457735 95.890186) (xy 60.330186 96.017735) (xy 60.33018 96.017742) + (xy 60.289092 96.083132) (xy 60.287204 96.086046) (xy 60.261607 96.124356) (xy 60.257826 96.130016) + (xy 60.257577 96.130388) (xy 60.203965 96.175195) (xy 60.154473 96.1855) (xy 58.538129 96.1855) + (xy 58.538123 96.185501) (xy 58.478516 96.191908) (xy 58.343671 96.242202) (xy 58.343664 96.242206) + (xy 58.228455 96.328452) (xy 58.228452 96.328455) (xy 58.142206 96.443664) (xy 58.142202 96.443671) + (xy 58.091908 96.578517) (xy 58.085501 96.638116) (xy 58.0855 96.638135) (xy 58.0855 98.43387) (xy 58.085501 98.433876) + (xy 58.091908 98.493483) (xy 58.142202 98.628328) (xy 58.142206 98.628335) (xy 58.228452 98.743544) + (xy 58.228455 98.743547) (xy 58.343664 98.829793) (xy 58.343671 98.829797) (xy 58.366566 98.838336) + (xy 58.475598 98.879002) (xy 58.531531 98.920873) (xy 58.555949 98.986337) (xy 58.541098 99.05461) + (xy 58.519947 99.082865) (xy 58.397886 99.204926) (xy 58.2624 99.39842) (xy 58.262399 99.398422) + (xy 58.16257 99.612507) (xy 58.162567 99.612513) (xy 58.105364 99.825999) (xy 58.105364 99.826) + (xy 59.002988 99.826) (xy 58.970075 99.883007) (xy 58.936 100.010174) (xy 58.936 100.141826) (xy 58.970075 100.268993) + (xy 59.002988 100.326) (xy 58.105364 100.326) (xy 58.162567 100.539486) (xy 58.16257 100.539492) + (xy 58.262399 100.753578) (xy 58.397894 100.947082) (xy 58.564917 101.114105) (xy 58.751031 101.244425) + (xy 58.794656 101.299003) (xy 58.801848 101.368501) (xy 58.770326 101.430856) (xy 58.751031 101.447575) + (xy 58.564922 101.57789) (xy 58.56492 101.577891) (xy 58.397891 101.74492) (xy 58.397886 101.744926) + (xy 58.2624 101.93842) (xy 58.262399 101.938422) (xy 58.16257 102.152507) (xy 58.162567 102.152513) + (xy 58.105364 102.365999) (xy 58.105364 102.366) (xy 59.002988 102.366) (xy 58.970075 102.423007) + (xy 58.936 102.550174) (xy 58.936 102.681826) (xy 58.970075 102.808993) (xy 59.002988 102.866) (xy 58.105364 102.866) + (xy 58.162567 103.079486) (xy 58.16257 103.079492) (xy 58.262399 103.293578) (xy 58.397894 103.487082) + (xy 58.564917 103.654105) (xy 58.750595 103.784119) (xy 58.794219 103.838696) (xy 58.801412 103.908195) + (xy 58.76989 103.970549) (xy 58.750595 103.987269) (xy 58.564594 104.117508) (xy 58.397505 104.284597) + (xy 58.261965 104.478169) (xy 58.261964 104.478171) (xy 58.162098 104.692335) (xy 58.162094 104.692344) + (xy 58.100938 104.920586) (xy 58.100936 104.920596) (xy 58.084028 105.113858) (xy 58.067678 105.155654) + (xy 58.079477 105.174014) (xy 58.084028 105.198141) (xy 58.100936 105.391403) (xy 58.100938 105.391413) + (xy 58.162094 105.619655) (xy 58.162096 105.619659) (xy 58.162097 105.619663) (xy 58.24962 105.807356) + (xy 58.261965 105.83383) (xy 58.261967 105.833834) (xy 58.304539 105.894632) (xy 58.39741 106.027266) + (xy 58.397501 106.027395) (xy 58.397506 106.027402) (xy 58.564597 106.194493) (xy 58.564603 106.194498) + (xy 58.750158 106.324425) (xy 58.793783 106.379002) (xy 58.800977 106.4485) (xy 58.769454 106.510855) + (xy 58.750158 106.527575) (xy 58.564597 106.657505) (xy 58.397505 106.824597) (xy 58.261965 107.018169) + (xy 58.261964 107.018171) (xy 58.162098 107.232335) (xy 58.162094 107.232344) (xy 58.100938 107.460586) + (xy 58.100936 107.460596) (xy 58.084028 107.653858) (xy 58.067678 107.695654) (xy 58.079477 107.714014) + (xy 58.084028 107.738141) (xy 58.100936 107.931403) (xy 58.100938 107.931413) (xy 58.162094 108.159655) + (xy 58.162096 108.159659) (xy 58.162097 108.159663) (xy 58.191584 108.222898) (xy 58.261965 108.37383) + (xy 58.261967 108.373834) (xy 58.324588 108.463265) (xy 58.397505 108.567401) (xy 58.564599 108.734495) + (xy 58.564601 108.734496) (xy 58.564603 108.734498) (xy 58.750158 108.864425) (xy 58.793783 108.919002) + (xy 58.800977 108.9885) (xy 58.769454 109.050855) (xy 58.750158 109.067575) (xy 58.564597 109.197505) + (xy 58.397505 109.364597) (xy 58.261965 109.558169) (xy 58.261964 109.558171) (xy 58.162098 109.772335) + (xy 58.162094 109.772344) (xy 58.100938 110.000586) (xy 58.100936 110.000596) (xy 58.084028 110.193858) + (xy 58.067678 110.235654) (xy 58.079477 110.254014) (xy 58.084028 110.278141) (xy 58.100936 110.471403) + (xy 58.100938 110.471413) (xy 58.162094 110.699655) (xy 58.162096 110.699659) (xy 58.162097 110.699663) + (xy 58.222066 110.828267) (xy 58.261965 110.91383) (xy 58.261967 110.913834) (xy 58.346256 111.03421) + (xy 58.39741 111.107266) (xy 58.397501 111.107395) (xy 58.397506 111.107402) (xy 58.564597 111.274493) + (xy 58.564603 111.274498) (xy 58.750158 111.404425) (xy 58.793783 111.459002) (xy 58.800977 111.5285) + (xy 58.769454 111.590855) (xy 58.750158 111.607575) (xy 58.564597 111.737505) (xy 58.397505 111.904597) + (xy 58.261965 112.098169) (xy 58.261964 112.098171) (xy 58.162098 112.312335) (xy 58.162094 112.312344) + (xy 58.100938 112.540586) (xy 58.100936 112.540596) (xy 58.084028 112.733858) (xy 58.067678 112.775654) + (xy 58.079477 112.794014) (xy 58.084028 112.818141) (xy 58.100936 113.011403) (xy 58.100938 113.011413) + (xy 58.162094 113.239655) (xy 58.162096 113.239659) (xy 58.162097 113.239663) (xy 58.246769 113.421242) + (xy 58.261965 113.45383) (xy 58.261967 113.453834) (xy 58.349116 113.578294) (xy 58.39741 113.647266) + (xy 58.397501 113.647395) (xy 58.397506 113.647402) (xy 58.564597 113.814493) (xy 58.564603 113.814498) + (xy 58.750158 113.944425) (xy 58.793783 113.999002) (xy 58.800977 114.0685) (xy 58.769454 114.130855) + (xy 58.750158 114.147575) (xy 58.564597 114.277505) (xy 58.397505 114.444597) (xy 58.261965 114.638169) + (xy 58.261964 114.638171) (xy 58.178277 114.817639) (xy 58.165039 114.846029) (xy 58.162098 114.852335) + (xy 58.162094 114.852344) (xy 58.100938 115.080586) (xy 58.100936 115.080596) (xy 58.084028 115.273858) + (xy 58.067678 115.315654) (xy 58.079477 115.334014) (xy 58.084027 115.35814) (xy 58.085566 115.375731) + (xy 58.100936 115.551403) (xy 58.100938 115.551413) (xy 58.162094 115.779655) (xy 58.162096 115.779659) + (xy 58.162097 115.779663) (xy 58.228164 115.921344) (xy 58.261965 115.99383) (xy 58.261967 115.993834) + (xy 58.346257 116.114211) (xy 58.397505 116.187401) (xy 58.564599 116.354495) (xy 58.661384 116.422265) + (xy 58.758165 116.490032) (xy 58.758167 116.490033) (xy 58.75817 116.490035) (xy 58.972337 116.589903) + (xy 59.200592 116.651063) (xy 59.388918 116.667539) (xy 59.435999 116.671659) (xy 59.436 116.671659) + (xy 59.436001 116.671659) (xy 59.475234 116.668226) (xy 59.671408 116.651063) (xy 59.899663 116.589903) + (xy 60.11383 116.490035) (xy 60.307401 116.354495) (xy 60.474495 116.187401) (xy 60.610035 115.99383) + (xy 60.631118 115.948616) (xy 60.67729 115.896177) (xy 60.744483 115.877025) (xy 60.811364 115.89724) + (xy 60.856699 115.950405) (xy 60.8675 116.001021) (xy 60.8675 117.781587) (xy 60.847815 117.848626) + (xy 60.84045 117.858896) (xy 60.838186 117.861734) (xy 60.742209 118.014479) (xy 60.733814 118.038472) + (xy 60.693091 118.095248) (xy 60.628138 118.120994) (xy 60.559577 118.107537) (xy 60.542461 118.096782) + (xy 60.539232 118.094365) (xy 60.528331 118.086204) (xy 60.528328 118.086202) (xy 60.393482 118.035908) + (xy 60.393483 118.035908) (xy 60.333883 118.029501) (xy 60.333881 118.0295) (xy 60.333873 118.0295) + (xy 60.333864 118.0295) (xy 58.538129 118.0295) (xy 58.538123 118.029501) (xy 58.478516 118.035908) + (xy 58.343671 118.086202) (xy 58.343664 118.086206) (xy 58.228455 118.172452) (xy 58.228452 118.172455) + (xy 58.142206 118.287664) (xy 58.142202 118.287671) (xy 58.091908 118.422517) (xy 58.085501 118.482116) + (xy 58.0855 118.482135) (xy 58.0855 120.27787) (xy 58.085501 120.277876) (xy 58.091908 120.337483) + (xy 58.142202 120.472328) (xy 58.142206 120.472335) (xy 58.228452 120.587544) (xy 58.228455 120.587547) + (xy 58.343664 120.673793) (xy 58.343671 120.673797) (xy 58.475081 120.72281) (xy 58.531015 120.764681) + (xy 58.555432 120.830145) (xy 58.54058 120.898418) (xy 58.51943 120.926673) (xy 58.397503 121.0486) + (xy 58.261965 121.242169) (xy 58.261964 121.242171) (xy 58.162098 121.456335) (xy 58.162094 121.456344) + (xy 58.100938 121.684586) (xy 58.100936 121.684596) (xy 58.084028 121.877858) (xy 58.067678 121.919654) + (xy 58.079477 121.938014) (xy 58.084028 121.962141) (xy 58.100936 122.155403) (xy 58.100938 122.155413) + (xy 58.162094 122.383655) (xy 58.162096 122.383659) (xy 58.162097 122.383663) (xy 58.23022 122.529752) + (xy 58.261965 122.59783) (xy 58.261967 122.597834) (xy 58.397501 122.791395) (xy 58.397506 122.791402) + (xy 58.564597 122.958493) (xy 58.564603 122.958498) (xy 58.750158 123.088425) (xy 58.793783 123.143002) + (xy 58.800977 123.2125) (xy 58.769454 123.274855) (xy 58.750158 123.291575) (xy 58.564597 123.421505) + (xy 58.397505 123.588597) (xy 58.261965 123.782169) (xy 58.261964 123.782171) (xy 58.162098 123.996335) + (xy 58.162094 123.996344) (xy 58.100938 124.224586) (xy 58.100936 124.224596) (xy 58.084028 124.417858) + (xy 58.067678 124.459654) (xy 58.079477 124.478014) (xy 58.084028 124.502141) (xy 58.100936 124.695403) + (xy 58.100938 124.695413) (xy 58.162094 124.923655) (xy 58.162096 124.923659) (xy 58.162097 124.923663) + (xy 58.234839 125.079658) (xy 58.261965 125.13783) (xy 58.261967 125.137834) (xy 58.397501 125.331395) + (xy 58.397506 125.331402) (xy 58.564597 125.498493) (xy 58.564603 125.498498) (xy 58.750158 125.628425) + (xy 58.793783 125.683002) (xy 58.800977 125.7525) (xy 58.769454 125.814855) (xy 58.750158 125.831575) + (xy 58.564597 125.961505) (xy 58.397505 126.128597) (xy 58.261965 126.322169) (xy 58.261964 126.322171) + (xy 58.162098 126.536335) (xy 58.162094 126.536344) (xy 58.100938 126.764586) (xy 58.100936 126.764596) + (xy 58.084028 126.957858) (xy 58.067678 126.999654) (xy 58.079477 127.018014) (xy 58.084028 127.042141) + (xy 58.100936 127.235403) (xy 58.100938 127.235413) (xy 58.162094 127.463655) (xy 58.162096 127.463659) + (xy 58.162097 127.463663) (xy 58.2336 127.617001) (xy 58.261965 127.67783) (xy 58.261967 127.677834) + (xy 58.322504 127.764289) (xy 58.388334 127.858304) (xy 58.397501 127.871395) (xy 58.397506 127.871402) + (xy 58.564597 128.038493) (xy 58.564603 128.038498) (xy 58.750158 128.168425) (xy 58.793783 128.223002) + (xy 58.800977 128.2925) (xy 58.769454 128.354855) (xy 58.750158 128.371575) (xy 58.564597 128.501505) + (xy 58.397505 128.668597) (xy 58.261965 128.862169) (xy 58.261964 128.862171) (xy 58.162098 129.076335) + (xy 58.162094 129.076344) (xy 58.100938 129.304586) (xy 58.100936 129.304596) (xy 58.084028 129.497858) + (xy 58.067678 129.539654) (xy 58.079477 129.558014) (xy 58.084028 129.582141) (xy 58.100936 129.775403) + (xy 58.100938 129.775413) (xy 58.162094 130.003655) (xy 58.162096 130.003659) (xy 58.162097 130.003663) + (xy 58.234839 130.159658) (xy 58.261965 130.21783) (xy 58.261967 130.217834) (xy 58.397501 130.411395) + (xy 58.397506 130.411402) (xy 58.564597 130.578493) (xy 58.564603 130.578498) (xy 58.750158 130.708425) + (xy 58.793783 130.763002) (xy 58.800977 130.8325) (xy 58.769454 130.894855) (xy 58.750158 130.911575) + (xy 58.564597 131.041505) (xy 58.397505 131.208597) (xy 58.261965 131.402169) (xy 58.261964 131.402171) + (xy 58.162098 131.616335) (xy 58.162094 131.616344) (xy 58.100938 131.844586) (xy 58.100936 131.844596) + (xy 58.084028 132.037858) (xy 58.067678 132.079654) (xy 58.079477 132.098014) (xy 58.084028 132.122141) + (xy 58.100936 132.315403) (xy 58.100938 132.315413) (xy 58.162094 132.543655) (xy 58.162096 132.543659) + (xy 58.162097 132.543663) (xy 58.231131 132.691706) (xy 58.261965 132.75783) (xy 58.261967 132.757834) + (xy 58.34354 132.874331) (xy 58.397505 132.951401) (xy 58.564599 133.118495) (xy 58.661384 133.186265) + (xy 58.758165 133.254032) (xy 58.758167 133.254033) (xy 58.75817 133.254035) (xy 58.794805 133.271118) + (xy 58.847244 133.31729) (xy 58.866396 133.384484) (xy 58.84618 133.451365) (xy 58.793015 133.4967) + (xy 58.7424 133.5075) (xy 57.9605 133.5075) (xy 57.893461 133.487815) (xy 57.847706 133.435011) + (xy 57.8365 133.3835) (xy 57.8365 132.132949) (xy 57.852718 132.077714) (xy 57.838931 132.051482) + (xy 57.8365 132.02705) (xy 57.8365 129.592949) (xy 57.852718 129.537714) (xy 57.838931 129.511482) + (xy 57.8365 129.48705) (xy 57.8365 127.052949) (xy 57.852718 126.997714) (xy 57.838931 126.971482) + (xy 57.8365 126.94705) (xy 57.8365 124.512949) (xy 57.852718 124.457714) (xy 57.838931 124.431482) + (xy 57.8365 124.40705) (xy 57.8365 121.972949) (xy 57.852718 121.917714) (xy 57.838931 121.891482) + (xy 57.8365 121.86705) (xy 57.8365 115.368949) (xy 57.852718 115.313714) (xy 57.838931 115.287482) + (xy 57.8365 115.26305) (xy 57.8365 112.828949) (xy 57.852718 112.773714) (xy 57.838931 112.747482) + (xy 57.8365 112.72305) (xy 57.8365 110.288949) (xy 57.852718 110.233714) (xy 57.838931 110.207482) + (xy 57.8365 110.18305) (xy 57.8365 107.748949) (xy 57.852718 107.693714) (xy 57.838931 107.667482) + (xy 57.8365 107.64305) (xy 57.8365 105.208949) (xy 57.852718 105.153714) (xy 57.838931 105.127482) + (xy 57.8365 105.10305) (xy 57.8365 93.767096) (xy 59.95 93.767096) (xy 59.952897 93.809824) (xy 59.998831 93.994523) + (xy 60.08339 94.165022) (xy 60.083392 94.165025) (xy 60.202632 94.313366) (xy 60.202633 94.313367) + (xy 60.350974 94.432607) (xy 60.350977 94.432609) (xy 60.521476 94.517168) (xy 60.706175 94.563102) + (xy 60.748903 94.566) (xy 60.95 94.566) (xy 60.95 93.316) (xy 59.95 93.316) (xy 59.95 93.767096) + (xy 57.8365 93.767096) (xy 57.8365 92.364903) (xy 59.95 92.364903) (xy 59.95 92.816) (xy 60.95 92.816) + (xy 60.95 91.566) (xy 60.748903 91.566) (xy 60.706175 91.568897) (xy 60.521476 91.614831) (xy 60.350977 91.69939) + (xy 60.350974 91.699392) (xy 60.202633 91.818632) (xy 60.202632 91.818633) (xy 60.083392 91.966974) + (xy 60.08339 91.966977) (xy 59.998831 92.137476) (xy 59.952897 92.322175) (xy 59.95 92.364903) (xy 57.8365 92.364903) + (xy 57.8365 77.145005) (xy 57.856185 77.077966) (xy 57.908989 77.032211) (xy 57.978147 77.022267) + (xy 58.040429 77.050204) (xy 58.144151 77.137655) (xy 58.144158 77.13766) (xy 58.346024 77.25612) + (xy 58.565012 77.338762) (xy 58.794809 77.383205) (xy 58.847382 77.385998) (xy 58.847421 77.385999) + (xy 59.565999 77.385999) (xy 59.566 77.385998) (xy 59.566 76.569012) (xy 59.623007 76.601925) (xy 59.750174 76.636) + (xy 59.881826 76.636) (xy 60.008993 76.601925) (xy 60.066 76.569012) (xy 60.066 77.385999) (xy 60.784576 77.385999) + (xy 60.784588 77.385998) (xy 60.837191 77.383205) (xy 61.066987 77.338762) (xy 61.285975 77.25612) + (xy 61.487841 77.13766) (xy 61.487848 77.137655) (xy 61.666786 76.986788) (xy 61.666788 76.986786) + (xy 61.817655 76.807848) (xy 61.81766 76.807841) (xy 61.93612 76.605975) (xy 62.018762 76.386986) + (xy 62.019756 76.381851) (xy 62.051811 76.319769) (xy 62.112342 76.284872) (xy 62.18213 76.28824) + (xy 62.239019 76.328805) (xy 62.264946 76.393686) (xy 62.2655 76.405395) (xy 62.2655 79.93387) (xy 62.265501 79.933876) + (xy 62.271908 79.993483) (xy 62.322202 80.128328) (xy 62.322206 80.128335) (xy 62.408452 80.243544) + (xy 62.408455 80.243547) (xy 62.523664 80.329793) (xy 62.523671 80.329797) (xy 62.658517 80.380091) + (xy 62.658516 80.380091) (xy 62.665444 80.380835) (xy 62.718127 80.3865) (xy 63.4915 80.386499) + (xy 63.558539 80.406183) (xy 63.604294 80.458987) (xy 63.6155 80.510499) (xy 63.6155 81.2795) (xy 63.595815 81.346539) + (xy 63.543011 81.392294) (xy 63.4915 81.4035) (xy 63.005998 81.4035) (xy 63.00598 81.403501) (xy 62.903203 81.414) + (xy 62.9032 81.414001) (xy 62.736668 81.469185) (xy 62.736663 81.469187) (xy 62.587342 81.561289) + (xy 62.463289 81.685342) (xy 62.371187 81.834663) (xy 62.371186 81.834666) (xy 62.316001 82.001203) + (xy 62.316001 82.001204) (xy 62.316 82.001204) (xy 62.3055 82.103983) (xy 62.3055 83.504001) (xy 62.305501 83.504018) + (xy 62.316 83.606796) (xy 62.316001 83.606799) (xy 62.371185 83.773331) (xy 62.371187 83.773336) + (xy 62.38618 83.797643) (xy 62.463288 83.922656) (xy 62.587344 84.046712) (xy 62.736666 84.138814) + (xy 62.903203 84.193999) (xy 63.005991 84.2045) (xy 66.106008 84.204499) (xy 66.208797 84.193999) + (xy 66.375334 84.138814) (xy 66.524656 84.046712) (xy 66.648712 83.922656) (xy 66.740814 83.773334) + (xy 66.795999 83.606797) (xy 66.8065 83.504009) (xy 66.806499 82.103992) (xy 66.795999 82.001203) + (xy 66.740814 81.834666) (xy 66.648712 81.685344) (xy 66.524656 81.561288) (xy 66.4088 81.489828) + (xy 66.375336 81.469187) (xy 66.375331 81.469185) (xy 66.345141 81.459181) (xy 66.208797 81.414001) + (xy 66.208795 81.414) (xy 66.106016 81.4035) (xy 66.106009 81.4035) (xy 65.5405 81.4035) (xy 65.473461 81.383815) + (xy 65.427706 81.331011) (xy 65.4165 81.2795) (xy 65.4165 80.510499) (xy 65.436185 80.44346) (xy 65.488989 80.397705) + (xy 65.5405 80.386499) (xy 66.313871 80.386499) (xy 66.313872 80.386499) (xy 66.373483 80.380091) + (xy 66.508331 80.329796) (xy 66.623546 80.243546) (xy 66.709796 80.128331) (xy 66.760091 79.993483) + (xy 66.7665 79.933873) (xy 66.766499 76.338128) (xy 66.760091 76.278517) (xy 66.75798 76.272858) + (xy 66.709797 76.143671) (xy 66.709793 76.143664) (xy 66.623547 76.028455) (xy 66.623544 76.028452) + (xy 66.508335 75.942206) (xy 66.508328 75.942202) (xy 66.373482 75.891908) (xy 66.373483 75.891908) + (xy 66.313883 75.885501) (xy 66.313881 75.8855) (xy 66.313873 75.8855) (xy 66.313864 75.8855) (xy 62.718129 75.8855) + (xy 62.718123 75.885501) (xy 62.658516 75.891908) (xy 62.523671 75.942202) (xy 62.523664 75.942206) + (xy 62.408455 76.028452) (xy 62.408452 76.028455) (xy 62.322206 76.143664) (xy 62.322202 76.143671) + (xy 62.303988 76.192507) (xy 62.262117 76.248441) (xy 62.196652 76.272858) (xy 62.128379 76.258006) + (xy 62.078974 76.208601) (xy 62.063981 76.142594) (xy 62.065999 76.104615) (xy 62.066 76.104578) + (xy 62.066 75.386) (xy 60.316 75.386) (xy 60.316 74.886) (xy 62.065999 74.886) (xy 62.065999 74.167423) + (xy 62.065998 74.167411) (xy 62.063205 74.114808) (xy 62.018762 73.885012) (xy 61.93612 73.666024) + (xy 61.81766 73.464158) (xy 61.817655 73.464151) (xy 61.666788 73.285213) (xy 61.666786 73.285211) + (xy 61.487848 73.134344) (xy 61.487841 73.134339) (xy 61.285975 73.015879) (xy 61.066987 72.933237) + (xy 60.83719 72.888794) (xy 60.784617 72.886001) (xy 60.784579 72.886) (xy 60.066 72.886) (xy 60.066 73.702988) + (xy 60.008993 73.670075) (xy 59.881826 73.636) (xy 59.750174 73.636) (xy 59.623007 73.670075) (xy 59.566 73.702988) + (xy 59.566 72.886) (xy 58.847423 72.886) (xy 58.847411 72.886001) (xy 58.794808 72.888794) (xy 58.565012 72.933237) + (xy 58.346024 73.015879) (xy 58.144158 73.134339) (xy 58.144151 73.134344) (xy 58.040429 73.221796) + (xy 57.976488 73.24996) (xy 57.90747 73.239083) (xy 57.855289 73.192619) (xy 57.8365 73.126995) + (xy 57.8365 71.321803) (xy 62.266 71.321803) (xy 62.266 71.886) (xy 63.082988 71.886) (xy 63.050075 71.943007) + (xy 63.016 72.070174) (xy 63.016 72.201826) (xy 63.050075 72.328993) (xy 63.082988 72.386) (xy 62.266001 72.386) + (xy 62.266001 72.950197) (xy 62.2764 73.082332) (xy 62.331377 73.300519) (xy 62.424428 73.505374) + (xy 62.424431 73.50538) (xy 62.552559 73.690323) (xy 62.552569 73.690335) (xy 62.711664 73.84943) + (xy 62.711676 73.84944) (xy 62.896619 73.977568) (xy 62.896625 73.977571) (xy 63.10148 74.070622) + (xy 63.319667 74.125599) (xy 63.45181 74.135999) (xy 64.265999 74.135999) (xy 64.266 74.135998) + (xy 64.266 72.636) (xy 64.766 72.636) (xy 64.766 74.135999) (xy 65.580182 74.135999) (xy 65.580197 74.135998) + (xy 65.712332 74.125599) (xy 65.930519 74.070622) (xy 66.135374 73.977571) (xy 66.13538 73.977568) + (xy 66.320323 73.84944) (xy 66.320335 73.84943) (xy 66.47943 73.690335) (xy 66.47944 73.690323) + (xy 66.607568 73.50538) (xy 66.607571 73.505374) (xy 66.700622 73.300519) (xy 66.755599 73.082332) + (xy 66.765999 72.950196) (xy 66.766 72.950184) (xy 66.766 72.386) (xy 65.949012 72.386) (xy 65.981925 72.328993) + (xy 66.016 72.201826) (xy 66.016 72.070174) (xy 65.981925 71.943007) (xy 65.949012 71.886) (xy 66.765999 71.886) + (xy 66.765999 71.321817) (xy 66.765998 71.321802) (xy 66.755599 71.189667) (xy 66.700622 70.97148) + (xy 66.607571 70.766625) (xy 66.607568 70.766619) (xy 66.47944 70.581676) (xy 66.47943 70.581664) + (xy 66.320335 70.422569) (xy 66.320323 70.422559) (xy 66.13538 70.294431) (xy 66.135374 70.294428) + (xy 65.930519 70.201377) (xy 65.712332 70.1464) (xy 65.580196 70.136) (xy 64.766 70.136) (xy 64.766 71.636) + (xy 64.266 71.636) (xy 64.266 70.136) (xy 63.451817 70.136) (xy 63.451802 70.136001) (xy 63.319667 70.1464) + (xy 63.10148 70.201377) (xy 62.896625 70.294428) (xy 62.896619 70.294431) (xy 62.711676 70.422559) + (xy 62.711664 70.422569) (xy 62.552569 70.581664) (xy 62.552559 70.581676) (xy 62.424431 70.766619) + (xy 62.424428 70.766625) (xy 62.331377 70.97148) (xy 62.2764 71.189667) (xy 62.266 71.321803) (xy 57.8365 71.321803) + (xy 57.8365 64.6325) (xy 57.856185 64.565461) (xy 57.908989 64.519706) (xy 57.9605 64.5085) (xy 111.7115 64.5085) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 75.047743 96.290744) (xy 75.086115 96.349133) (xy 75.0915 96.38528) (xy 75.0915 97.140292) (xy 75.071815 97.207331) + (xy 75.019011 97.253086) (xy 75.008455 97.257334) (xy 75.004745 97.258631) (xy 75.004745 97.258632) + (xy 74.972057 97.27007) (xy 74.834476 97.318211) (xy 74.681737 97.414184) (xy 74.554184 97.541737) + (xy 74.45821 97.694478) (xy 74.39863 97.86475) (xy 74.385503 97.981257) (xy 74.358436 98.045671) + (xy 74.348412 98.055849) (xy 74.348689 98.056126) (xy 74.344864 98.05995) (xy 74.344861 98.059953) + (xy 74.293651 98.111163) (xy 74.183951 98.220862) (xy 74.053432 98.407265) (xy 74.053431 98.407267) + (xy 73.957261 98.613502) (xy 73.957258 98.613511) (xy 73.898366 98.833302) (xy 73.898364 98.833313) + (xy 73.878532 99.059998) (xy 73.878532 99.060001) (xy 73.898364 99.286686) (xy 73.898366 99.286697) + (xy 73.957258 99.506488) (xy 73.957261 99.506497) (xy 74.053431 99.712732) (xy 74.053432 99.712734) + (xy 74.183954 99.899141) (xy 74.344858 100.060045) (xy 74.344861 100.060047) (xy 74.531266 100.190568) + (xy 74.589275 100.217618) (xy 74.641714 100.263791) (xy 74.660866 100.330984) (xy 74.64065 100.397865) + (xy 74.589275 100.442382) (xy 74.531267 100.469431) (xy 74.531265 100.469432) (xy 74.344858 100.599954) + (xy 74.183954 100.760858) (xy 74.053432 100.947265) (xy 74.053431 100.947267) (xy 73.957261 101.153502) + (xy 73.957258 101.153511) (xy 73.898366 101.373302) (xy 73.898364 101.373313) (xy 73.878532 101.599998) + (xy 73.878532 101.600001) (xy 73.898364 101.826686) (xy 73.898366 101.826697) (xy 73.957258 102.046488) + (xy 73.957261 102.046497) (xy 74.053431 102.252732) (xy 74.053432 102.252734) (xy 74.183954 102.439141) + (xy 74.344858 102.600045) (xy 74.344861 102.600047) (xy 74.531266 102.730568) (xy 74.589275 102.757618) + (xy 74.641714 102.803791) (xy 74.660866 102.870984) (xy 74.64065 102.937865) (xy 74.589275 102.982382) + (xy 74.531267 103.009431) (xy 74.531265 103.009432) (xy 74.344858 103.139954) (xy 74.183954 103.300858) + (xy 74.053432 103.487265) (xy 74.053431 103.487267) (xy 73.957261 103.693502) (xy 73.957258 103.693511) + (xy 73.898366 103.913302) (xy 73.898364 103.913313) (xy 73.878532 104.139998) (xy 73.878532 104.140001) + (xy 73.898364 104.366686) (xy 73.898366 104.366697) (xy 73.957258 104.586488) (xy 73.957261 104.586497) + (xy 74.053431 104.792732) (xy 74.053432 104.792734) (xy 74.183954 104.979141) (xy 74.344858 105.140045) + (xy 74.344861 105.140047) (xy 74.531266 105.270568) (xy 74.589275 105.297618) (xy 74.641714 105.343791) + (xy 74.660866 105.410984) (xy 74.64065 105.477865) (xy 74.589275 105.522382) (xy 74.531267 105.549431) + (xy 74.531265 105.549432) (xy 74.344858 105.679954) (xy 74.183954 105.840858) (xy 74.053432 106.027265) + (xy 74.053431 106.027267) (xy 73.957261 106.233502) (xy 73.957258 106.233511) (xy 73.898366 106.453302) + (xy 73.898364 106.453313) (xy 73.878532 106.679998) (xy 73.878532 106.680001) (xy 73.898364 106.906686) + (xy 73.898366 106.906697) (xy 73.957258 107.126488) (xy 73.957261 107.126497) (xy 74.053431 107.332732) + (xy 74.053432 107.332734) (xy 74.183954 107.519141) (xy 74.344858 107.680045) (xy 74.344861 107.680047) + (xy 74.531266 107.810568) (xy 74.584274 107.835286) (xy 74.589275 107.837618) (xy 74.641714 107.883791) + (xy 74.660866 107.950984) (xy 74.64065 108.017865) (xy 74.589275 108.062381) (xy 74.584267 108.064717) + (xy 74.531267 108.089431) (xy 74.531265 108.089432) (xy 74.344858 108.219954) (xy 74.183954 108.380858) + (xy 74.053432 108.567265) (xy 74.053431 108.567267) (xy 73.957261 108.773502) (xy 73.957258 108.773511) + (xy 73.898366 108.993302) (xy 73.898364 108.993313) (xy 73.878532 109.219998) (xy 73.878532 109.220001) + (xy 73.898364 109.446686) (xy 73.898366 109.446697) (xy 73.957258 109.666488) (xy 73.957261 109.666497) + (xy 74.053431 109.872732) (xy 74.053432 109.872734) (xy 74.183954 110.059141) (xy 74.344858 110.220045) + (xy 74.344861 110.220047) (xy 74.531266 110.350568) (xy 74.589275 110.377618) (xy 74.641714 110.423791) + (xy 74.660866 110.490984) (xy 74.64065 110.557865) (xy 74.589275 110.602382) (xy 74.531267 110.629431) + (xy 74.531265 110.629432) (xy 74.344858 110.759954) (xy 74.183954 110.920858) (xy 74.053432 111.107265) + (xy 74.053431 111.107267) (xy 73.957261 111.313502) (xy 73.957258 111.313511) (xy 73.898366 111.533302) + (xy 73.898364 111.533313) (xy 73.878532 111.759998) (xy 73.878532 111.760001) (xy 73.898364 111.986686) + (xy 73.898366 111.986697) (xy 73.957258 112.206488) (xy 73.957261 112.206497) (xy 74.053431 112.412732) + (xy 74.053432 112.412734) (xy 74.183954 112.599141) (xy 74.344858 112.760045) (xy 74.344861 112.760047) + (xy 74.531266 112.890568) (xy 74.589865 112.917893) (xy 74.642305 112.964065) (xy 74.661457 113.031258) + (xy 74.641242 113.098139) (xy 74.589867 113.142657) (xy 74.531515 113.169867) (xy 74.345179 113.300342) + (xy 74.184342 113.461179) (xy 74.053865 113.647517) (xy 73.957734 113.853673) (xy 73.95773 113.853682) + (xy 73.905127 114.049999) (xy 73.905128 114.05) (xy 74.868314 114.05) (xy 74.86392 114.054394) (xy 74.811259 114.145606) + (xy 74.784 114.247339) (xy 74.784 114.352661) (xy 74.811259 114.454394) (xy 74.86392 114.545606) + (xy 74.868314 114.55) (xy 73.905128 114.55) (xy 73.95773 114.746317) (xy 73.957734 114.746326) (xy 74.053865 114.952482) + (xy 74.184342 115.13882) (xy 74.345179 115.299657) (xy 74.531518 115.430134) (xy 74.53152 115.430135) + (xy 74.589865 115.457342) (xy 74.642305 115.503514) (xy 74.661457 115.570707) (xy 74.641242 115.637589) + (xy 74.589867 115.682105) (xy 74.53557 115.707425) (xy 74.531264 115.709433) (xy 74.344858 115.839954) + (xy 74.183954 116.000858) (xy 74.053432 116.187265) (xy 74.053431 116.187267) (xy 73.957261 116.393502) + (xy 73.957258 116.393511) (xy 73.898366 116.613302) (xy 73.898364 116.613313) (xy 73.878532 116.839998) + (xy 73.878532 116.840001) (xy 73.898364 117.066686) (xy 73.898366 117.066697) (xy 73.957258 117.286488) + (xy 73.957261 117.286497) (xy 74.053431 117.492732) (xy 74.053432 117.492734) (xy 74.183954 117.679141) + (xy 74.344858 117.840045) (xy 74.375839 117.861738) (xy 74.531266 117.970568) (xy 74.588681 117.997341) + (xy 74.589275 117.997618) (xy 74.641714 118.043791) (xy 74.660866 118.110984) (xy 74.64065 118.177865) + (xy 74.589275 118.222382) (xy 74.531267 118.249431) (xy 74.531265 118.249432) (xy 74.344858 118.379954) + (xy 74.183954 118.540858) (xy 74.053432 118.727265) (xy 74.053431 118.727267) (xy 73.957261 118.933502) + (xy 73.957258 118.933511) (xy 73.898366 119.153302) (xy 73.898364 119.153313) (xy 73.878532 119.379998) + (xy 73.878532 119.380001) (xy 73.898364 119.606686) (xy 73.898366 119.606697) (xy 73.957258 119.826488) + (xy 73.957261 119.826497) (xy 74.053431 120.032732) (xy 74.053432 120.032734) (xy 74.183954 120.219141) + (xy 74.344858 120.380045) (xy 74.344861 120.380047) (xy 74.531266 120.510568) (xy 74.589275 120.537618) + (xy 74.641714 120.583791) (xy 74.660866 120.650984) (xy 74.64065 120.717865) (xy 74.589275 120.762381) + (xy 74.572272 120.77031) (xy 74.531267 120.789431) (xy 74.531265 120.789432) (xy 74.344858 120.919954) + (xy 74.183954 121.080858) (xy 74.053432 121.267265) (xy 74.053431 121.267267) (xy 73.957261 121.473502) + (xy 73.957258 121.473511) (xy 73.898366 121.693302) (xy 73.898364 121.693313) (xy 73.878532 121.919998) + (xy 73.878532 121.920001) (xy 73.898364 122.146686) (xy 73.898366 122.146697) (xy 73.957258 122.366488) + (xy 73.957261 122.366497) (xy 74.053431 122.572732) (xy 74.053432 122.572734) (xy 74.183954 122.759141) + (xy 74.344858 122.920045) (xy 74.344861 122.920047) (xy 74.531266 123.050568) (xy 74.540372 123.054814) + (xy 74.589275 123.077618) (xy 74.641714 123.123791) (xy 74.660866 123.190984) (xy 74.64065 123.257865) + (xy 74.589275 123.302381) (xy 74.572272 123.31031) (xy 74.531267 123.329431) (xy 74.531265 123.329432) + (xy 74.344858 123.459954) (xy 74.183954 123.620858) (xy 74.053432 123.807265) (xy 74.053431 123.807267) + (xy 73.957261 124.013502) (xy 73.957258 124.013511) (xy 73.898366 124.233302) (xy 73.898364 124.233313) + (xy 73.878532 124.459998) (xy 73.878532 124.460001) (xy 73.898364 124.686686) (xy 73.898366 124.686697) + (xy 73.957258 124.906488) (xy 73.957261 124.906497) (xy 74.053431 125.112732) (xy 74.053432 125.112734) + (xy 74.183954 125.299141) (xy 74.344858 125.460045) (xy 74.344861 125.460047) (xy 74.531266 125.590568) + (xy 74.589275 125.617618) (xy 74.641714 125.663791) (xy 74.660866 125.730984) (xy 74.64065 125.797865) + (xy 74.589275 125.842382) (xy 74.531267 125.869431) (xy 74.531265 125.869432) (xy 74.344858 125.999954) + (xy 74.183954 126.160858) (xy 74.113578 126.261368) (xy 74.053881 126.346624) (xy 73.999307 126.390248) + (xy 73.952308 126.3995) (xy 72.56494 126.3995) (xy 72.524019 126.410464) (xy 72.524019 126.410465) + (xy 72.486751 126.420451) (xy 72.412214 126.440423) (xy 72.412209 126.440426) (xy 72.27529 126.519475) + (xy 72.275282 126.519481) (xy 72.163478 126.631286) (xy 71.767284 127.027481) (xy 71.655483 127.139281) + (xy 71.655481 127.139284) (xy 71.576423 127.276214) (xy 71.576423 127.276215) (xy 71.535499 127.428943) + (xy 71.535499 127.428945) (xy 71.535499 127.587054) (xy 71.535498 127.587054) (xy 71.558432 127.672643) + (xy 71.576423 127.739785) (xy 71.655481 127.876716) (xy 71.655483 127.876718) (xy 72.159139 128.380374) + (xy 72.159149 128.380385) (xy 72.163479 128.384715) (xy 72.16348 128.384716) (xy 72.275284 128.49652) + (xy 72.275286 128.496521) (xy 72.27529 128.496524) (xy 72.378304 128.555998) (xy 72.412216 128.575577) + (xy 72.521894 128.604965) (xy 72.564942 128.6165) (xy 72.564943 128.6165) (xy 74.004822 128.6165) + (xy 74.071861 128.636185) (xy 74.117616 128.688989) (xy 74.12756 128.758147) (xy 74.106398 128.811622) + (xy 74.053431 128.887267) (xy 73.957261 129.093502) (xy 73.957258 129.093511) (xy 73.898366 129.313302) + (xy 73.898364 129.313313) (xy 73.878532 129.539998) (xy 73.878532 129.540001) (xy 73.898364 129.766686) + (xy 73.898366 129.766697) (xy 73.957258 129.986488) (xy 73.957261 129.986497) (xy 74.053431 130.192732) + (xy 74.053432 130.192734) (xy 74.183954 130.379141) (xy 74.344858 130.540045) (xy 74.369462 130.557273) + (xy 74.413087 130.611849) (xy 74.420281 130.681348) (xy 74.388758 130.743703) (xy 74.328529 130.779117) + (xy 74.311593 130.782138) (xy 74.276516 130.785908) (xy 74.141671 130.836202) (xy 74.141664 130.836206) + (xy 74.026455 130.922452) (xy 74.026452 130.922455) (xy 73.940206 131.037664) (xy 73.940202 131.037671) + (xy 73.889908 131.172517) (xy 73.883501 131.232116) (xy 73.883501 131.232123) (xy 73.8835 131.232135) + (xy 73.8835 132.92787) (xy 73.883501 132.927876) (xy 73.889908 132.987483) (xy 73.940202 133.122328) + (xy 73.940206 133.122335) (xy 74.026452 133.237544) (xy 74.026455 133.237547) (xy 74.08882 133.284234) + (xy 74.130691 133.340168) (xy 74.135675 133.409859) (xy 74.102189 133.471182) (xy 74.040866 133.504666) + (xy 74.014509 133.5075) (xy 68.139289 133.5075) (xy 68.07225 133.487815) (xy 68.026495 133.435011) + (xy 68.016551 133.365853) (xy 68.045576 133.302297) (xy 68.086882 133.271119) (xy 68.216734 133.210568) + (xy 68.403139 133.080047) (xy 68.564047 132.919139) (xy 68.694568 132.732734) (xy 68.790739 132.526496) + (xy 68.849635 132.306692) (xy 68.869468 132.08) (xy 68.864835 132.02705) (xy 68.849635 131.853313) + (xy 68.849635 131.853308) (xy 68.790739 131.633504) (xy 68.694568 131.427266) (xy 68.564047 131.240861) + (xy 68.564045 131.240858) (xy 68.403141 131.079954) (xy 68.216734 130.949432) (xy 68.216728 130.949429) + (xy 68.189038 130.936517) (xy 68.158724 130.922381) (xy 68.106285 130.87621) (xy 68.087133 130.809017) + (xy 68.107348 130.742135) (xy 68.158725 130.697618) (xy 68.216734 130.670568) (xy 68.403139 130.540047) + (xy 68.564047 130.379139) (xy 68.694568 130.192734) (xy 68.790739 129.986496) (xy 68.849635 129.766692) + (xy 68.869468 129.54) (xy 68.864835 129.48705) (xy 68.849635 129.313313) (xy 68.849635 129.313308) + (xy 68.804916 129.146415) (xy 68.790741 129.093511) (xy 68.790738 129.093502) (xy 68.773717 129.057) + (xy 68.694568 128.887266) (xy 68.564047 128.700861) (xy 68.564045 128.700858) (xy 68.403141 128.539954) + (xy 68.216734 128.409432) (xy 68.216728 128.409429) (xy 68.189038 128.396517) (xy 68.158724 128.382381) + (xy 68.106285 128.33621) (xy 68.087133 128.269017) (xy 68.107348 128.202135) (xy 68.158725 128.157618) + (xy 68.180215 128.147597) (xy 68.216734 128.130568) (xy 68.403139 128.000047) (xy 68.564047 127.839139) + (xy 68.694568 127.652734) (xy 68.790739 127.446496) (xy 68.849635 127.226692) (xy 68.869468 127) + (xy 68.868634 126.990473) (xy 68.856902 126.856374) (xy 68.849635 126.773308) (xy 68.790739 126.553504) + (xy 68.694568 126.347266) (xy 68.564047 126.160861) (xy 68.564045 126.160858) (xy 68.403141 125.999954) + (xy 68.216734 125.869432) (xy 68.216728 125.869429) (xy 68.158725 125.842382) (xy 68.106285 125.79621) + (xy 68.087133 125.729017) (xy 68.107348 125.662135) (xy 68.158725 125.617618) (xy 68.216734 125.590568) + (xy 68.403139 125.460047) (xy 68.564047 125.299139) (xy 68.694568 125.112734) (xy 68.790739 124.906496) + (xy 68.849635 124.686692) (xy 68.869468 124.46) (xy 68.864835 124.40705) (xy 68.849813 124.235344) + (xy 68.849635 124.233308) (xy 68.790739 124.013504) (xy 68.694568 123.807266) (xy 68.564047 123.620861) + (xy 68.564045 123.620858) (xy 68.403141 123.459954) (xy 68.216734 123.329432) (xy 68.216728 123.329429) + (xy 68.189038 123.316517) (xy 68.158724 123.302381) (xy 68.106285 123.25621) (xy 68.087133 123.189017) + (xy 68.107348 123.122135) (xy 68.158725 123.077618) (xy 68.216734 123.050568) (xy 68.403139 122.920047) + (xy 68.564047 122.759139) (xy 68.694568 122.572734) (xy 68.790739 122.366496) (xy 68.849635 122.146692) + (xy 68.869468 121.92) (xy 68.864835 121.86705) (xy 68.849635 121.693313) (xy 68.849635 121.693308) + (xy 68.790739 121.473504) (xy 68.694568 121.267266) (xy 68.564047 121.080861) (xy 68.564045 121.080858) + (xy 68.403141 120.919954) (xy 68.216734 120.789432) (xy 68.216728 120.789429) (xy 68.189038 120.776517) + (xy 68.158724 120.762381) (xy 68.106285 120.71621) (xy 68.087133 120.649017) (xy 68.107348 120.582135) + (xy 68.158725 120.537618) (xy 68.216734 120.510568) (xy 68.403139 120.380047) (xy 68.564047 120.219139) + (xy 68.694568 120.032734) (xy 68.790739 119.826496) (xy 68.849635 119.606692) (xy 68.869468 119.38) + (xy 68.849635 119.153308) (xy 68.790739 118.933504) (xy 68.694568 118.727266) (xy 68.564047 118.540861) + (xy 68.564045 118.540858) (xy 68.403141 118.379954) (xy 68.216734 118.249432) (xy 68.216732 118.249431) + (xy 68.158725 118.222382) (xy 68.158132 118.222105) (xy 68.105694 118.175934) (xy 68.086542 118.10874) + (xy 68.106758 118.041859) (xy 68.158134 117.997341) (xy 68.216484 117.970132) (xy 68.40282 117.839657) + (xy 68.563657 117.67882) (xy 68.694134 117.492482) (xy 68.790265 117.286326) (xy 68.790269 117.286317) + (xy 68.842872 117.09) (xy 67.879686 117.09) (xy 67.88408 117.085606) (xy 67.936741 116.994394) (xy 67.964 116.892661) + (xy 67.964 116.787339) (xy 67.936741 116.685606) (xy 67.88408 116.594394) (xy 67.879686 116.59) + (xy 68.842872 116.59) (xy 68.842872 116.589999) (xy 68.790269 116.393682) (xy 68.790265 116.393673) + (xy 68.694134 116.187517) (xy 68.563657 116.001179) (xy 68.40282 115.840342) (xy 68.216482 115.709865) + (xy 68.158133 115.682657) (xy 68.105694 115.636484) (xy 68.086542 115.569291) (xy 68.106758 115.50241) + (xy 68.158129 115.457895) (xy 68.216734 115.430568) (xy 68.344276 115.341262) (xy 68.410481 115.318936) + (xy 68.478248 115.335946) (xy 68.503079 115.355157) (xy 68.585738 115.437816) (xy 68.616812 115.457341) + (xy 68.651149 115.478916) (xy 68.654026 115.48078) (xy 68.708821 115.517393) (xy 68.708826 115.517395) + (xy 68.709603 115.517717) (xy 68.728132 115.527287) (xy 68.738478 115.533789) (xy 68.73848 115.53379) + (xy 68.738485 115.533792) (xy 68.79914 115.555015) (xy 68.805615 115.557486) (xy 68.854502 115.577737) + (xy 68.868137 115.580449) (xy 68.884897 115.585024) (xy 68.902187 115.591073) (xy 68.908745 115.593368) + (xy 68.959723 115.599111) (xy 68.969976 115.600706) (xy 69.009157 115.6085) (xy 69.036085 115.6085) + (xy 69.049969 115.60928) (xy 69.087998 115.613565) (xy 69.088 115.613565) (xy 69.088002 115.613565) + (xy 69.126031 115.60928) (xy 69.139915 115.6085) (xy 69.166841 115.6085) (xy 69.166842 115.6085) + (xy 69.206017 115.600707) (xy 69.216283 115.59911) (xy 69.267255 115.593368) (xy 69.291107 115.58502) + (xy 69.307852 115.58045) (xy 69.321497 115.577737) (xy 69.370382 115.557487) (xy 69.376852 115.555017) + (xy 69.437522 115.533789) (xy 69.44786 115.527292) (xy 69.466389 115.517719) (xy 69.467179 115.517393) + (xy 69.521965 115.480784) (xy 69.524827 115.47893) (xy 69.590262 115.437816) (xy 69.717816 115.310262) + (xy 69.758939 115.244811) (xy 69.760784 115.241965) (xy 69.797393 115.187179) (xy 69.797719 115.186389) + (xy 69.807293 115.167859) (xy 69.813789 115.157522) (xy 69.835017 115.096852) (xy 69.837493 115.090368) + (xy 69.841541 115.080596) (xy 69.857737 115.041497) (xy 69.86045 115.027852) (xy 69.86502 115.011107) + (xy 69.873368 114.987255) (xy 69.87911 114.936283) (xy 69.880707 114.926017) (xy 69.8885 114.886842) + (xy 69.8885 114.859914) (xy 69.88928 114.846029) (xy 69.893565 114.808001) (xy 69.893565 114.807998) + (xy 69.88928 114.769969) (xy 69.8885 114.756085) (xy 69.8885 114.729158) (xy 69.888457 114.728943) + (xy 69.880706 114.689976) (xy 69.879111 114.679723) (xy 69.873368 114.628745) (xy 69.870071 114.619322) + (xy 69.865024 114.604897) (xy 69.860448 114.588133) (xy 69.857737 114.574503) (xy 69.857735 114.574497) + (xy 69.851425 114.559265) (xy 69.837486 114.525615) (xy 69.835015 114.51914) (xy 69.813792 114.458485) + (xy 69.81379 114.45848) (xy 69.813789 114.458478) (xy 69.807287 114.448132) (xy 69.797717 114.429603) + (xy 69.797395 114.428826) (xy 69.797393 114.428822) (xy 69.797393 114.428821) (xy 69.76078 114.374026) + (xy 69.758916 114.371149) (xy 69.717816 114.305738) (xy 69.590262 114.178184) (xy 69.356503 113.944425) + (xy 69.090292 113.678213) (xy 69.090288 113.67821) (xy 68.959185 113.590609) (xy 68.959172 113.590602) + (xy 68.813501 113.530264) (xy 68.813489 113.530261) (xy 68.658845 113.4995) (xy 68.658842 113.4995) + (xy 68.654049 113.4995) (xy 68.58701 113.479815) (xy 68.566368 113.463181) (xy 68.400819 113.297632) + (xy 68.367334 113.236309) (xy 68.3645 113.209951) (xy 68.3645 112.850049) (xy 68.384185 112.78301) + (xy 68.400819 112.762368) (xy 68.564045 112.599141) (xy 68.564047 112.599139) (xy 68.694568 112.412734) + (xy 68.790739 112.206496) (xy 68.849635 111.986692) (xy 68.869468 111.76) (xy 68.849635 111.533308) + (xy 68.790739 111.313504) (xy 68.694568 111.107266) (xy 68.564047 110.920861) (xy 68.564045 110.920858) + (xy 68.403141 110.759954) (xy 68.216734 110.629432) (xy 68.216728 110.629429) (xy 68.158725 110.602382) + (xy 68.106285 110.55621) (xy 68.087133 110.489017) (xy 68.107348 110.422135) (xy 68.158725 110.377618) + (xy 68.216734 110.350568) (xy 68.403139 110.220047) (xy 68.564047 110.059139) (xy 68.694118 109.873375) + (xy 68.748693 109.829752) (xy 68.795692 109.8205) (xy 71.541331 109.8205) (xy 71.541347 109.820501) + (xy 71.548943 109.820501) (xy 71.707054 109.820501) (xy 71.707057 109.820501) (xy 71.859785 109.779577) + (xy 71.929494 109.73933) (xy 71.996716 109.70052) (xy 72.10852 109.588716) (xy 72.10852 109.588714) + (xy 72.118724 109.578511) (xy 72.118728 109.578506) (xy 72.494506 109.202728) (xy 72.494511 109.202724) + (xy 72.504714 109.19252) (xy 72.504716 109.19252) (xy 72.61652 109.080716) (xy 72.695577 108.943784) + (xy 72.7365 108.791057) (xy 72.7365 106.246412) (xy 72.756185 106.179373) (xy 72.763555 106.169097) + (xy 72.76581 106.166267) (xy 72.765816 106.166262) (xy 72.861789 106.013522) (xy 72.921368 105.843255) + (xy 72.921638 105.840858) (xy 72.941565 105.664003) (xy 72.941565 105.663996) (xy 72.921369 105.48475) + (xy 72.921368 105.484745) (xy 72.906527 105.442331) (xy 72.861789 105.314478) (xy 72.834198 105.270568) + (xy 72.820332 105.2485) (xy 72.765816 105.161738) (xy 72.638262 105.034184) (xy 72.550662 104.979141) + (xy 72.485523 104.938211) (xy 72.315254 104.878631) (xy 72.315249 104.87863) (xy 72.136004 104.858435) + (xy 72.135996 104.858435) (xy 71.95675 104.87863) (xy 71.956745 104.878631) (xy 71.786476 104.938211) + (xy 71.633737 105.034184) (xy 71.506184 105.161737) (xy 71.410211 105.314476) (xy 71.350631 105.484745) + (xy 71.35063 105.48475) (xy 71.330435 105.663996) (xy 71.330435 105.664004) (xy 71.34536 105.796476) + (xy 71.333305 105.865298) (xy 71.285956 105.916677) (xy 71.263095 105.9274) (xy 71.18648 105.954209) + (xy 71.033736 106.050185) (xy 71.030903 106.052445) (xy 71.028724 106.053334) (xy 71.027842 106.053889) + (xy 71.027744 106.053734) (xy 70.966217 106.078855) (xy 70.953588 106.0795) (xy 68.795692 106.0795) + (xy 68.728653 106.059815) (xy 68.694119 106.026625) (xy 68.564047 105.840861) (xy 68.564045 105.840858) + (xy 68.403141 105.679954) (xy 68.216734 105.549432) (xy 68.216728 105.549429) (xy 68.158725 105.522382) + (xy 68.106285 105.47621) (xy 68.087133 105.409017) (xy 68.107348 105.342135) (xy 68.158725 105.297618) + (xy 68.216734 105.270568) (xy 68.403139 105.140047) (xy 68.564047 104.979139) (xy 68.694568 104.792734) + (xy 68.790739 104.586496) (xy 68.849635 104.366692) (xy 68.867064 104.167475) (xy 68.869468 104.140001) + (xy 68.869468 104.139998) (xy 68.857006 103.997557) (xy 68.849635 103.913308) (xy 68.823847 103.817066) + (xy 68.82551 103.747217) (xy 68.855939 103.697294) (xy 69.954506 102.598728) (xy 69.954511 102.598724) + (xy 69.964714 102.58852) (xy 69.964716 102.58852) (xy 70.07652 102.476716) (xy 70.132017 102.380592) + (xy 70.132021 102.380586) (xy 70.155574 102.33979) (xy 70.155575 102.339789) (xy 70.155575 102.339787) + (xy 70.155577 102.339785) (xy 70.196501 102.187057) (xy 70.196501 102.028943) (xy 70.196501 102.021348) + (xy 70.1965 102.02133) (xy 70.1965 97.2445) (xy 70.216185 97.177461) (xy 70.268989 97.131706) (xy 70.3205 97.1205) + (xy 72.557331 97.1205) (xy 72.557347 97.120501) (xy 72.564943 97.120501) (xy 72.723054 97.120501) + (xy 72.723057 97.120501) (xy 72.875785 97.079577) (xy 72.961152 97.03029) (xy 73.012716 97.00052) + (xy 73.12452 96.888716) (xy 73.12452 96.888714) (xy 73.134721 96.878514) (xy 73.134724 96.878509) + (xy 73.364417 96.648816) (xy 73.425739 96.615334) (xy 73.452097 96.6125) (xy 73.729775 96.6125) + (xy 73.795748 96.631507) (xy 73.818475 96.645788) (xy 73.988745 96.705368) (xy 73.98875 96.705369) + (xy 74.167996 96.725565) (xy 74.168 96.725565) (xy 74.168004 96.725565) (xy 74.347249 96.705369) + (xy 74.347252 96.705368) (xy 74.347255 96.705368) (xy 74.517522 96.645789) (xy 74.670262 96.549816) + (xy 74.797816 96.422262) (xy 74.862506 96.319307) (xy 74.914841 96.273017) (xy 74.983894 96.262369) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 77.609454 132.700185) (xy 77.655209 132.752989) (xy 77.660118 132.765489) (xy 77.696186 132.874334) + (xy 77.788288 133.023656) (xy 77.912344 133.147712) (xy 78.061666 133.239814) (xy 78.140071 133.265795) + (xy 78.197515 133.305566) (xy 78.224338 133.370082) (xy 78.212023 133.438858) (xy 78.16448 133.490058) + (xy 78.101066 133.5075) (xy 76.353491 133.5075) (xy 76.286452 133.487815) (xy 76.240697 133.435011) + (xy 76.230753 133.365853) (xy 76.259778 133.302297) (xy 76.27918 133.284234) (xy 76.338516 133.239814) + (xy 76.341546 133.237546) (xy 76.427796 133.122331) (xy 76.478091 132.987483) (xy 76.4845 132.927873) + (xy 76.4845 132.8045) (xy 76.504185 132.737461) (xy 76.556989 132.691706) (xy 76.6085 132.6805) + (xy 77.542415 132.6805) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 79.835451 132.990713) (xy 79.861537 133.020817) (xy 79.863288 133.023656) (xy 79.987344 133.147712) + (xy 80.136666 133.239814) (xy 80.215071 133.265795) (xy 80.272515 133.305566) (xy 80.299338 133.370082) + (xy 80.287023 133.438858) (xy 80.23948 133.490058) (xy 80.176066 133.5075) (xy 79.335935 133.5075) + (xy 79.268896 133.487815) (xy 79.223141 133.435011) (xy 79.213197 133.365853) (xy 79.242222 133.302297) + (xy 79.29693 133.265794) (xy 79.375334 133.239814) (xy 79.524656 133.147712) (xy 79.648712 133.023656) + (xy 79.650461 133.020819) (xy 79.652169 133.019283) (xy 79.653193 133.017989) (xy 79.653414 133.018163) + (xy 79.702406 132.974096) (xy 79.771368 132.962872) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.011192 118.648554) (xy 86.033827 118.65974) (xy 86.093607 118.697303) (xy 86.18074 118.752053) + (xy 86.180743 118.752054) (xy 86.35101 118.811633) (xy 86.440314 118.821694) (xy 86.491222 118.842227) + (xy 86.492245 118.840457) (xy 86.636209 118.923573) (xy 86.636216 118.923577) (xy 86.738773 118.951057) + (xy 86.788942 118.9645) (xy 86.788943 118.9645) (xy 106.648901 118.9645) (xy 106.71594 118.984185) + (xy 106.736582 119.000818) (xy 106.819284 119.08352) (xy 106.819285 119.08352) (xy 106.826352 119.090587) + (xy 106.826351 119.090587) (xy 106.826355 119.09059) (xy 109.411233 121.675468) (xy 109.444718 121.736791) + (xy 109.443327 121.795241) (xy 109.408939 121.923583) (xy 109.408936 121.923596) (xy 109.388341 122.158999) + (xy 109.388341 122.159) (xy 109.408936 122.394403) (xy 109.408938 122.394413) (xy 109.470094 122.622655) + (xy 109.470096 122.622659) (xy 109.470097 122.622663) (xy 109.533737 122.759139) (xy 109.569965 122.83683) + (xy 109.569967 122.836834) (xy 109.705501 123.030395) (xy 109.705506 123.030402) (xy 109.872597 123.197493) + (xy 109.872603 123.197498) (xy 110.058158 123.327425) (xy 110.101783 123.382002) (xy 110.108977 123.4515) + (xy 110.077454 123.513855) (xy 110.058158 123.530575) (xy 109.872597 123.660505) (xy 109.705505 123.827597) + (xy 109.569965 124.021169) (xy 109.569964 124.021171) (xy 109.470098 124.235335) (xy 109.470094 124.235344) + (xy 109.408938 124.463586) (xy 109.408936 124.463596) (xy 109.388341 124.698999) (xy 109.388341 124.699) + (xy 109.408936 124.934403) (xy 109.408938 124.934413) (xy 109.470094 125.162655) (xy 109.470096 125.162659) + (xy 109.470097 125.162663) (xy 109.55126 125.336717) (xy 109.569965 125.37683) (xy 109.569967 125.376834) + (xy 109.705501 125.570395) (xy 109.705506 125.570402) (xy 109.872597 125.737493) (xy 109.872603 125.737498) + (xy 110.058158 125.867425) (xy 110.101783 125.922002) (xy 110.108977 125.9915) (xy 110.077454 126.053855) + (xy 110.058158 126.070575) (xy 109.872597 126.200505) (xy 109.705505 126.367597) (xy 109.569965 126.561169) + (xy 109.569964 126.561171) (xy 109.470098 126.775335) (xy 109.470094 126.775344) (xy 109.408938 127.003586) + (xy 109.408936 127.003596) (xy 109.388341 127.238999) (xy 109.388341 127.239) (xy 109.408936 127.474403) + (xy 109.408938 127.474413) (xy 109.470094 127.702655) (xy 109.470096 127.702659) (xy 109.470097 127.702663) + (xy 109.555964 127.886805) (xy 109.569965 127.91683) (xy 109.569967 127.916834) (xy 109.705501 128.110395) + (xy 109.705506 128.110402) (xy 109.814923 128.219819) (xy 109.848408 128.281142) (xy 109.843424 128.350834) + (xy 109.801552 128.406767) (xy 109.736088 128.431184) (xy 109.727242 128.4315) (xy 97.176301 128.4315) + (xy 97.109262 128.411815) (xy 97.063507 128.359011) (xy 97.058595 128.346504) (xy 97.030814 128.262666) + (xy 96.938712 128.113344) (xy 96.814656 127.989288) (xy 96.697182 127.91683) (xy 96.665336 127.897187) + (xy 96.665331 127.897185) (xy 96.639586 127.888654) (xy 96.498797 127.842001) (xy 96.498795 127.842) + (xy 96.396016 127.8315) (xy 96.396009 127.8315) (xy 96.220097 127.8315) (xy 96.153058 127.811815) + (xy 96.132416 127.795181) (xy 95.8267 127.489465) (xy 95.793215 127.428142) (xy 95.791163 127.415686) + (xy 95.781368 127.328745) (xy 95.721789 127.158478) (xy 95.625816 127.005738) (xy 95.498262 126.878184) + (xy 95.463552 126.856374) (xy 95.345523 126.782211) (xy 95.175254 126.722631) (xy 95.175249 126.72263) + (xy 94.996004 126.702435) (xy 94.995996 126.702435) (xy 94.81675 126.72263) (xy 94.816745 126.722631) + (xy 94.646476 126.782211) (xy 94.493737 126.878184) (xy 94.366184 127.005737) (xy 94.270211 127.158476) + (xy 94.210631 127.328745) (xy 94.21063 127.32875) (xy 94.190435 127.507996) (xy 94.190435 127.508003) + (xy 94.211348 127.693617) (xy 94.199293 127.762439) (xy 94.151944 127.813818) (xy 94.088128 127.8315) + (xy 93.595998 127.8315) (xy 93.59598 127.831501) (xy 93.493203 127.842) (xy 93.4932 127.842001) + (xy 93.326668 127.897185) (xy 93.326663 127.897187) (xy 93.177342 127.989289) (xy 93.053289 128.113342) + (xy 93.032161 128.147597) (xy 92.980213 128.194321) (xy 92.926622 128.2065) (xy 91.588301 128.2065) + (xy 91.521262 128.186815) (xy 91.475507 128.134011) (xy 91.470595 128.121504) (xy 91.467891 128.113344) + (xy 91.442814 128.037666) (xy 91.350712 127.888344) (xy 91.226656 127.764288) (xy 91.124583 127.701329) + (xy 91.077336 127.672187) (xy 91.077331 127.672185) (xy 91.020572 127.653377) (xy 90.910797 127.617001) + (xy 90.910795 127.617) (xy 90.80801 127.6065) (xy 90.007998 127.6065) (xy 90.00798 127.606501) (xy 89.905203 127.617) + (xy 89.9052 127.617001) (xy 89.738668 127.672185) (xy 89.738663 127.672187) (xy 89.589345 127.764287) + (xy 89.495327 127.858305) (xy 89.434003 127.891789) (xy 89.364312 127.886805) (xy 89.319965 127.858304) + (xy 89.226345 127.764684) (xy 89.077124 127.672643) (xy 89.077119 127.672641) (xy 88.910697 127.617494) + (xy 88.91069 127.617493) (xy 88.807986 127.607) (xy 88.658 127.607) (xy 88.658 130.006999) (xy 88.807972 130.006999) + (xy 88.807986 130.006998) (xy 88.910697 129.996505) (xy 89.077119 129.941358) (xy 89.077124 129.941356) + (xy 89.226342 129.849317) (xy 89.319964 129.755695) (xy 89.381287 129.72221) (xy 89.450979 129.727194) + (xy 89.495327 129.755695) (xy 89.589344 129.849712) (xy 89.738666 129.941814) (xy 89.905203 129.996999) + (xy 90.007991 130.0075) (xy 90.808008 130.007499) (xy 90.808016 130.007498) (xy 90.808019 130.007498) + (xy 90.864302 130.001748) (xy 90.910797 129.996999) (xy 91.077334 129.941814) (xy 91.131737 129.908257) + (xy 91.199129 129.889817) (xy 91.265793 129.910739) (xy 91.310562 129.964381) (xy 91.319224 130.033712) + (xy 91.301828 130.079768) (xy 91.222211 130.206476) (xy 91.162631 130.376745) (xy 91.16263 130.37675) + (xy 91.150018 130.488692) (xy 91.122952 130.553106) (xy 91.065357 130.592662) (xy 90.99552 130.594799) + (xy 90.987795 130.592515) (xy 90.910799 130.567001) (xy 90.910795 130.567) (xy 90.80801 130.5565) + (xy 90.007998 130.5565) (xy 90.00798 130.556501) (xy 89.905203 130.567) (xy 89.9052 130.567001) + (xy 89.738668 130.622185) (xy 89.738663 130.622187) (xy 89.589345 130.714287) (xy 89.495327 130.808305) + (xy 89.434003 130.841789) (xy 89.364312 130.836805) (xy 89.319965 130.808304) (xy 89.226345 130.714684) + (xy 89.077124 130.622643) (xy 89.077119 130.622641) (xy 88.910697 130.567494) (xy 88.91069 130.567493) + (xy 88.807986 130.557) (xy 88.658 130.557) (xy 88.658 132.956999) (xy 88.807972 132.956999) (xy 88.807986 132.956998) + (xy 88.910697 132.946505) (xy 89.077119 132.891358) (xy 89.077124 132.891356) (xy 89.226342 132.799317) + (xy 89.319964 132.705695) (xy 89.381287 132.67221) (xy 89.450979 132.677194) (xy 89.495327 132.705695) + (xy 89.589344 132.799712) (xy 89.738666 132.891814) (xy 89.905203 132.946999) (xy 90.007991 132.9575) + (xy 90.808008 132.957499) (xy 90.808016 132.957498) (xy 90.808019 132.957498) (xy 90.867763 132.951395) + (xy 90.910797 132.946999) (xy 91.077334 132.891814) (xy 91.226656 132.799712) (xy 91.350712 132.675656) + (xy 91.442814 132.526334) (xy 91.470595 132.442495) (xy 91.510368 132.385051) (xy 91.574884 132.358228) + (xy 91.588301 132.3575) (xy 92.771501 132.3575) (xy 92.83854 132.377185) (xy 92.884295 132.429989) + (xy 92.895501 132.4815) (xy 92.895501 132.572018) (xy 92.906 132.674796) (xy 92.906001 132.674799) + (xy 92.961185 132.841331) (xy 92.961187 132.841336) (xy 92.98154 132.874334) (xy 93.053288 132.990656) + (xy 93.177344 133.114712) (xy 93.326666 133.206814) (xy 93.493203 133.261999) (xy 93.493204 133.261999) + (xy 93.494367 133.262248) (xy 93.495014 133.262599) (xy 93.499629 133.264128) (xy 93.499356 133.264951) + (xy 93.5558 133.295532) (xy 93.589485 133.356745) (xy 93.584729 133.426453) (xy 93.543041 133.482523) + (xy 93.477657 133.507154) (xy 93.468406 133.5075) (xy 81.410935 133.5075) (xy 81.343896 133.487815) + (xy 81.298141 133.435011) (xy 81.288197 133.365853) (xy 81.317222 133.302297) (xy 81.37193 133.265794) + (xy 81.450334 133.239814) (xy 81.599656 133.147712) (xy 81.723712 133.023656) (xy 81.815814 132.874334) + (xy 81.870999 132.707797) (xy 81.8815 132.605009) (xy 81.8815 132.256986) (xy 87.308001 132.256986) + (xy 87.318494 132.359697) (xy 87.373641 132.526119) (xy 87.373643 132.526124) (xy 87.465684 132.675345) + (xy 87.589654 132.799315) (xy 87.738875 132.891356) (xy 87.73888 132.891358) (xy 87.905302 132.946505) + (xy 87.905309 132.946506) (xy 88.008019 132.956999) (xy 88.157999 132.956999) (xy 88.158 132.956998) + (xy 88.158 132.007) (xy 87.308001 132.007) (xy 87.308001 132.256986) (xy 81.8815 132.256986) (xy 81.881499 131.554992) + (xy 81.870999 131.452203) (xy 81.815814 131.285666) (xy 81.798141 131.257013) (xy 87.308 131.257013) + (xy 87.308 131.507) (xy 88.158 131.507) (xy 88.158 130.557) (xy 88.008027 130.557) (xy 88.008012 130.557001) + (xy 87.905302 130.567494) (xy 87.73888 130.622641) (xy 87.738875 130.622643) (xy 87.589654 130.714684) + (xy 87.465684 130.838654) (xy 87.373643 130.987875) (xy 87.373641 130.98788) (xy 87.318494 131.154302) + (xy 87.318493 131.154309) (xy 87.308 131.257013) (xy 81.798141 131.257013) (xy 81.723712 131.136344) + (xy 81.599656 131.012288) (xy 81.452902 130.92177) (xy 81.406179 130.869823) (xy 81.394 130.816232) + (xy 81.394 129.310596) (xy 81.39506 129.306986) (xy 87.308001 129.306986) (xy 87.318494 129.409697) + (xy 87.373641 129.576119) (xy 87.373643 129.576124) (xy 87.465684 129.725345) (xy 87.589654 129.849315) + (xy 87.738875 129.941356) (xy 87.73888 129.941358) (xy 87.905302 129.996505) (xy 87.905309 129.996506) + (xy 88.008019 130.006999) (xy 88.157999 130.006999) (xy 88.158 130.006998) (xy 88.158 129.057) (xy 87.308001 129.057) + (xy 87.308001 129.306986) (xy 81.39506 129.306986) (xy 81.413685 129.243557) (xy 81.430314 129.22292) + (xy 82.346221 128.307013) (xy 87.308 128.307013) (xy 87.308 128.557) (xy 88.158 128.557) (xy 88.158 127.607) + (xy 88.008027 127.607) (xy 88.008012 127.607001) (xy 87.905302 127.617494) (xy 87.73888 127.672641) + (xy 87.738875 127.672643) (xy 87.589654 127.764684) (xy 87.465684 127.888654) (xy 87.373643 128.037875) + (xy 87.373641 128.03788) (xy 87.318494 128.204302) (xy 87.318493 128.204309) (xy 87.308 128.307013) + (xy 82.346221 128.307013) (xy 85.194506 125.458727) (xy 85.194511 125.458724) (xy 85.204714 125.44852) + (xy 85.204716 125.44852) (xy 85.31652 125.336716) (xy 85.395577 125.199784) (xy 85.4365 125.047057) + (xy 85.4365 119.172096) (xy 85.456185 119.105057) (xy 85.472815 119.084419) (xy 85.88018 118.677053) + (xy 85.941501 118.64357) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 81.629942 127.112185) (xy 81.675697 127.164989) (xy 81.685641 127.234147) (xy 81.656616 127.297703) + (xy 81.650584 127.304181) (xy 81.206707 127.748056) (xy 81.145384 127.781541) (xy 81.075692 127.776557) + (xy 81.019759 127.734685) (xy 81.001984 127.701329) (xy 80.989789 127.666478) (xy 80.95901 127.617493) + (xy 80.893816 127.513738) (xy 80.766262 127.386184) (xy 80.663309 127.321494) (xy 80.617019 127.26916) + (xy 80.606371 127.200107) (xy 80.634746 127.136258) (xy 80.693136 127.097886) (xy 80.729282 127.092501) + (xy 80.858653 127.092501) (xy 80.858669 127.0925) (xy 81.562903 127.0925) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 76.982942 122.540185) (xy 77.003584 122.556819) (xy 78.417298 123.970533) (xy 78.450783 124.031856) + (xy 78.452837 124.04433) (xy 78.46263 124.131249) (xy 78.52221 124.301521) (xy 78.588519 124.40705) + (xy 78.618184 124.454262) (xy 78.745738 124.581816) (xy 78.898478 124.677789) (xy 78.959093 124.698999) + (xy 79.068745 124.737368) (xy 79.06875 124.737369) (xy 79.076931 124.738291) (xy 79.141345 124.765357) + (xy 79.1809 124.822952) (xy 79.183038 124.892789) (xy 79.150731 124.949191) (xy 79.126183 124.973739) + (xy 79.030211 125.126476) (xy 78.970631 125.296745) (xy 78.97063 125.29675) (xy 78.950435 125.475996) + (xy 78.950435 125.476003) (xy 78.97063 125.655249) (xy 78.970631 125.655254) (xy 79.030211 125.825523) + (xy 79.115652 125.961501) (xy 79.126184 125.978262) (xy 79.253738 126.105816) (xy 79.406478 126.201789) + (xy 79.576745 126.261368) (xy 79.663669 126.271161) (xy 79.72808 126.298226) (xy 79.737465 126.3067) + (xy 80.287139 126.856374) (xy 80.287149 126.856385) (xy 80.291479 126.860715) (xy 80.29148 126.860716) + (xy 80.403284 126.97252) (xy 80.403286 126.972521) (xy 80.403287 126.972522) (xy 80.43438 126.990473) + (xy 80.482597 127.041039) (xy 80.495821 127.109646) (xy 80.469854 127.174511) (xy 80.41294 127.21504) + (xy 80.3585 127.221081) (xy 80.264005 127.210435) (xy 80.263996 127.210435) (xy 80.08475 127.23063) + (xy 80.084745 127.230631) (xy 79.914476 127.290211) (xy 79.761736 127.386185) (xy 79.758903 127.388445) + (xy 79.756724 127.389334) (xy 79.755842 127.389889) (xy 79.755744 127.389734) (xy 79.694217 127.414855) + (xy 79.681588 127.4155) (xy 76.580644 127.4155) (xy 76.513605 127.395815) (xy 76.46785 127.343011) + (xy 76.457906 127.273853) (xy 76.460869 127.259407) (xy 76.467298 127.235413) (xy 76.469635 127.226692) + (xy 76.489468 127) (xy 76.488634 126.990473) (xy 76.476902 126.856374) (xy 76.469635 126.773308) + (xy 76.410739 126.553504) (xy 76.314568 126.347266) (xy 76.184047 126.160861) (xy 76.184045 126.160858) + (xy 76.023141 125.999954) (xy 75.836734 125.869432) (xy 75.836728 125.869429) (xy 75.778725 125.842382) + (xy 75.726285 125.79621) (xy 75.707133 125.729017) (xy 75.727348 125.662135) (xy 75.778725 125.617618) + (xy 75.836734 125.590568) (xy 76.023139 125.460047) (xy 76.184047 125.299139) (xy 76.314568 125.112734) + (xy 76.410739 124.906496) (xy 76.469635 124.686692) (xy 76.489468 124.46) (xy 76.484835 124.40705) + (xy 76.469813 124.235344) (xy 76.469635 124.233308) (xy 76.410739 124.013504) (xy 76.314568 123.807266) + (xy 76.184047 123.620861) (xy 76.184045 123.620858) (xy 76.023141 123.459954) (xy 75.836734 123.329432) + (xy 75.836728 123.329429) (xy 75.809038 123.316517) (xy 75.778724 123.302381) (xy 75.726285 123.25621) + (xy 75.707133 123.189017) (xy 75.727348 123.122135) (xy 75.778725 123.077618) (xy 75.836734 123.050568) + (xy 76.023139 122.920047) (xy 76.184047 122.759139) (xy 76.314118 122.573375) (xy 76.368693 122.529752) + (xy 76.415692 122.5205) (xy 76.915903 122.5205) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 80.831686 122.840194) (xy 80.876034 122.868695) (xy 80.969654 122.962315) (xy 81.006949 122.985319) + (xy 81.053673 123.037267) (xy 81.064896 123.10623) (xy 81.037052 123.170312) (xy 80.982807 123.207899) + (xy 80.93048 123.226209) (xy 80.777737 123.322184) (xy 80.650184 123.449737) (xy 80.554211 123.602476) + (xy 80.494631 123.772745) (xy 80.49463 123.77275) (xy 80.474435 123.951996) (xy 80.474435 123.952003) + (xy 80.49463 124.131249) (xy 80.494631 124.131254) (xy 80.554211 124.301523) (xy 80.620519 124.40705) + (xy 80.650184 124.454262) (xy 80.777738 124.581816) (xy 80.930478 124.677789) (xy 80.991093 124.698999) + (xy 81.100745 124.737368) (xy 81.10075 124.737369) (xy 81.279996 124.757565) (xy 81.28 124.757565) + (xy 81.280004 124.757565) (xy 81.459249 124.737369) (xy 81.459252 124.737368) (xy 81.459255 124.737368) + (xy 81.629522 124.677789) (xy 81.782262 124.581816) (xy 81.782267 124.58181) (xy 81.785097 124.579555) + (xy 81.787275 124.578665) (xy 81.788158 124.578111) (xy 81.788255 124.578265) (xy 81.849783 124.553145) + (xy 81.862412 124.5525) (xy 83.653588 124.5525) (xy 83.720627 124.572185) (xy 83.730903 124.579555) + (xy 83.733736 124.581814) (xy 83.733738 124.581816) (xy 83.886478 124.677789) (xy 83.989483 124.713832) + (xy 84.046258 124.754552) (xy 84.072006 124.819505) (xy 84.05855 124.888067) (xy 84.036209 124.918554) + (xy 83.541102 125.413661) (xy 83.479779 125.447146) (xy 83.410087 125.442162) (xy 83.36574 125.413662) + (xy 83.34822 125.396142) (xy 83.306262 125.354184) (xy 83.218662 125.299141) (xy 83.153523 125.258211) + (xy 82.983254 125.198631) (xy 82.983249 125.19863) (xy 82.804004 125.178435) (xy 82.803996 125.178435) + (xy 82.62475 125.19863) (xy 82.624745 125.198631) (xy 82.454476 125.258211) (xy 82.301737 125.354184) + (xy 82.174184 125.481737) (xy 82.07821 125.634478) (xy 82.059924 125.686739) (xy 82.018632 125.804745) + (xy 82.018631 125.804748) (xy 82.017335 125.808454) (xy 81.976613 125.86523) (xy 81.911661 125.890978) + (xy 81.900293 125.8915) (xy 81.072097 125.8915) (xy 81.005058 125.871815) (xy 80.984416 125.855181) + (xy 80.5867 125.457465) (xy 80.553215 125.396142) (xy 80.551163 125.383686) (xy 80.541368 125.296745) + (xy 80.481789 125.126478) (xy 80.385816 124.973738) (xy 80.258262 124.846184) (xy 80.215803 124.819505) + (xy 80.105523 124.750211) (xy 79.935253 124.690631) (xy 79.927061 124.689708) (xy 79.862648 124.662637) + (xy 79.823096 124.60504) (xy 79.820962 124.535203) (xy 79.853268 124.478809) (xy 79.877816 124.454262) + (xy 79.973789 124.301522) (xy 80.033368 124.131255) (xy 80.043162 124.04433) (xy 80.053565 123.952003) + (xy 80.053565 123.951996) (xy 80.033369 123.77275) (xy 80.033368 123.772745) (xy 80.009306 123.70398) + (xy 79.973789 123.602478) (xy 79.877816 123.449738) (xy 79.760258 123.33218) (xy 79.726773 123.270857) + (xy 79.731757 123.201165) (xy 79.773629 123.145232) (xy 79.839093 123.120815) (xy 79.847927 123.120499) + (xy 80.188008 123.120499) (xy 80.188016 123.120498) (xy 80.188019 123.120498) (xy 80.244302 123.114748) + (xy 80.290797 123.109999) (xy 80.457334 123.054814) (xy 80.606656 122.962712) (xy 80.700675 122.868692) + (xy 80.761994 122.83521) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.154834 119.488915) (xy 84.210767 119.530787) (xy 84.235184 119.596251) (xy 84.2355 119.605097) + (xy 84.2355 123.035677) (xy 84.215815 123.102716) (xy 84.163011 123.148471) (xy 84.125384 123.158897) + (xy 84.05675 123.16663) (xy 83.886478 123.22621) (xy 83.733736 123.322185) (xy 83.730903 123.324445) + (xy 83.728724 123.325334) (xy 83.727842 123.325889) (xy 83.727744 123.325734) (xy 83.666217 123.350855) + (xy 83.653588 123.3515) (xy 82.328832 123.3515) (xy 82.261793 123.331815) (xy 82.216038 123.279011) + (xy 82.206094 123.209853) (xy 82.235119 123.146297) (xy 82.289828 123.109794) (xy 82.457119 123.054358) + (xy 82.457124 123.054356) (xy 82.606345 122.962315) (xy 82.730315 122.838345) (xy 82.822356 122.689124) + (xy 82.822358 122.689119) (xy 82.877505 122.522697) (xy 82.877506 122.52269) (xy 82.887999 122.419986) + (xy 82.888 122.419973) (xy 82.888 122.17) (xy 81.662 122.17) (xy 81.594961 122.150315) (xy 81.549206 122.097511) + (xy 81.538 122.046) (xy 81.538 121.794) (xy 81.557685 121.726961) (xy 81.610489 121.681206) (xy 81.662 121.67) + (xy 82.887999 121.67) (xy 82.887999 121.420028) (xy 82.887998 121.420013) (xy 82.877505 121.317302) + (xy 82.822358 121.15088) (xy 82.822356 121.150875) (xy 82.730315 121.001654) (xy 82.722629 120.993968) + (xy 82.689144 120.932645) (xy 82.694128 120.862953) (xy 82.722625 120.81861) (xy 82.77652 120.764716) + (xy 82.776521 120.764713) (xy 83.284519 120.256716) (xy 84.023819 119.517416) (xy 84.085142 119.483931) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.625703 95.043648) (xy 84.632181 95.04968) (xy 86.676536 97.094035) (xy 86.744102 97.161601) + (xy 86.801966 97.219465) (xy 86.949446 97.318009) (xy 86.949459 97.318016) (xy 87.02624 97.349819) + (xy 87.093122 97.377522) (xy 87.113334 97.385894) (xy 87.140381 97.391274) (xy 87.287305 97.4205) + (xy 87.287308 97.4205) (xy 87.287309 97.4205) (xy 93.710002 97.4205) (xy 93.777041 97.440185) (xy 93.822796 97.492989) + (xy 93.83274 97.562147) (xy 93.814995 97.610473) (xy 93.762211 97.694475) (xy 93.702631 97.864745) + (xy 93.70263 97.86475) (xy 93.682435 98.043996) (xy 93.682435 98.044003) (xy 93.70263 98.223249) + (xy 93.702631 98.223254) (xy 93.762211 98.393523) (xy 93.812248 98.473155) (xy 93.857826 98.545693) + (xy 93.858185 98.546263) (xy 93.860445 98.549097) (xy 93.861334 98.551275) (xy 93.861889 98.552158) + (xy 93.861734 98.552255) (xy 93.886855 98.613783) (xy 93.8875 98.626412) (xy 93.8875 107.395903) + (xy 93.867815 107.462942) (xy 93.851181 107.483584) (xy 93.767584 107.567181) (xy 93.706261 107.600666) + (xy 93.679903 107.6035) (xy 93.050669 107.6035) (xy 93.050653 107.603499) (xy 93.043057 107.603499) + (xy 92.884943 107.603499) (xy 92.777587 107.632265) (xy 92.73221 107.644424) (xy 92.732209 107.644425) + (xy 92.697066 107.664716) (xy 92.697064 107.664717) (xy 92.59529 107.723475) (xy 92.595282 107.723481) + (xy 88.419481 111.899282) (xy 88.419475 111.89929) (xy 88.376467 111.973784) (xy 88.376467 111.973785) + (xy 88.340423 112.036215) (xy 88.299499 112.188943) (xy 88.299499 112.188945) (xy 88.299499 112.357046) + (xy 88.2995 112.357059) (xy 88.2995 112.561817) (xy 88.279815 112.628856) (xy 88.227011 112.674611) + (xy 88.157853 112.684555) (xy 88.110404 112.667356) (xy 88.054128 112.632646) (xy 88.054119 112.632641) + (xy 87.887697 112.577494) (xy 87.88769 112.577493) (xy 87.784986 112.567) (xy 87.6475 112.567) (xy 87.6475 115.016999) + (xy 87.656951 115.02645) (xy 87.690436 115.087773) (xy 87.685452 115.157465) (xy 87.656951 115.201812) + (xy 85.944517 116.914246) (xy 85.883194 116.947731) (xy 85.813502 116.942747) (xy 85.757569 116.900875) + (xy 85.733616 116.840448) (xy 85.713369 116.66075) (xy 85.713368 116.660745) (xy 85.699884 116.622211) + (xy 85.653789 116.490478) (xy 85.557816 116.337738) (xy 85.430262 116.210184) (xy 85.393787 116.187265) + (xy 85.277523 116.114211) (xy 85.107254 116.054631) (xy 85.107249 116.05463) (xy 84.928004 116.034435) + (xy 84.927996 116.034435) (xy 84.74875 116.05463) (xy 84.748745 116.054631) (xy 84.578476 116.114211) + (xy 84.425736 116.210185) (xy 84.422903 116.212445) (xy 84.420724 116.213334) (xy 84.419842 116.213889) + (xy 84.419744 116.213734) (xy 84.358217 116.238855) (xy 84.345588 116.2395) (xy 83.294412 116.2395) + (xy 83.227373 116.219815) (xy 83.217097 116.212445) (xy 83.214263 116.210185) (xy 83.214262 116.210184) + (xy 83.157496 116.174515) (xy 83.061523 116.114211) (xy 82.891254 116.054631) (xy 82.891249 116.05463) + (xy 82.712004 116.034435) (xy 82.711996 116.034435) (xy 82.53275 116.05463) (xy 82.532745 116.054631) + (xy 82.362476 116.114211) (xy 82.209737 116.210184) (xy 82.082184 116.337737) (xy 81.984071 116.493884) + (xy 81.931736 116.540175) (xy 81.865194 116.551132) (xy 81.788003 116.542435) (xy 81.787996 116.542435) + (xy 81.60875 116.56263) (xy 81.608745 116.562631) (xy 81.438476 116.622211) (xy 81.285737 116.718184) + (xy 81.158184 116.845737) (xy 81.062211 116.998476) (xy 81.002631 117.168745) (xy 81.00263 117.16875) + (xy 80.982435 117.347996) (xy 80.982435 117.348003) (xy 81.00263 117.527249) (xy 81.002632 117.527257) + (xy 81.056743 117.681898) (xy 81.060304 117.751677) (xy 81.025575 117.812304) (xy 81.004804 117.828387) + (xy 81.003346 117.829286) (xy 81.003343 117.829288) (xy 80.879285 117.953346) (xy 80.877537 117.956182) + (xy 80.875829 117.957717) (xy 80.874807 117.959011) (xy 80.874585 117.958836) (xy 80.825589 118.002905) + (xy 80.756626 118.014126) (xy 80.692544 117.986282) (xy 80.666463 117.956182) (xy 80.664714 117.953346) + (xy 80.540657 117.829289) (xy 80.540656 117.829288) (xy 80.426143 117.758656) (xy 80.391336 117.737187) + (xy 80.391331 117.737185) (xy 80.358274 117.726231) (xy 80.224797 117.682001) (xy 80.224795 117.682) + (xy 80.12201 117.6715) (xy 79.371998 117.6715) (xy 79.37198 117.671501) (xy 79.269203 117.682) (xy 79.2692 117.682001) + (xy 79.102668 117.737185) (xy 79.102663 117.737187) (xy 78.953342 117.829289) (xy 78.829289 117.953342) + (xy 78.737187 118.102663) (xy 78.737185 118.102668) (xy 78.71406 118.172455) (xy 78.682001 118.269203) + (xy 78.682001 118.269204) (xy 78.682 118.269204) (xy 78.6715 118.371983) (xy 78.6715 119.372001) + (xy 78.671501 119.372019) (xy 78.682 119.474796) (xy 78.682001 119.474799) (xy 78.737185 119.641331) + (xy 78.737187 119.641336) (xy 78.772069 119.697888) (xy 78.829288 119.790656) (xy 78.953344 119.914712) + (xy 79.102666 120.006814) (xy 79.10267 120.006815) (xy 79.109209 120.009864) (xy 79.108665 120.011029) + (xy 79.15994 120.046521) (xy 79.18677 120.111033) (xy 79.1875 120.124465) (xy 79.1875 120.674091) + (xy 79.167815 120.74113) (xy 79.123731 120.779641) (xy 79.124813 120.781395) (xy 79.118667 120.785185) + (xy 79.118666 120.785186) (xy 79.024062 120.843538) (xy 78.969342 120.877289) (xy 78.845289 121.001342) + (xy 78.753187 121.150663) (xy 78.753185 121.150668) (xy 78.753115 121.15088) (xy 78.698001 121.317203) + (xy 78.698001 121.317204) (xy 78.698 121.317204) (xy 78.6875 121.419983) (xy 78.6875 122.242903) + (xy 78.667815 122.309942) (xy 78.615011 122.355697) (xy 78.545853 122.365641) (xy 78.482297 122.336616) + (xy 78.475819 122.330584) (xy 77.70359 121.558355) (xy 77.703588 121.558352) (xy 77.584717 121.439481) + (xy 77.584716 121.43948) (xy 77.484916 121.381861) (xy 77.484915 121.38186) (xy 77.457733 121.366167) + (xy 77.447785 121.360423) (xy 77.447784 121.360422) (xy 77.447783 121.360422) (xy 77.391881 121.345443) + (xy 77.295057 121.319499) (xy 77.136943 121.319499) (xy 77.129347 121.319499) (xy 77.129331 121.3195) + (xy 76.415692 121.3195) (xy 76.348653 121.299815) (xy 76.314119 121.266625) (xy 76.184047 121.080861) + (xy 76.184045 121.080858) (xy 76.023141 120.919954) (xy 75.836734 120.789432) (xy 75.836728 120.789429) + (xy 75.809038 120.776517) (xy 75.778724 120.762381) (xy 75.726285 120.71621) (xy 75.707133 120.649017) + (xy 75.727348 120.582135) (xy 75.778725 120.537618) (xy 75.836734 120.510568) (xy 76.023139 120.380047) + (xy 76.184047 120.219139) (xy 76.314568 120.032734) (xy 76.410739 119.826496) (xy 76.469635 119.606692) + (xy 76.489468 119.38) (xy 76.469635 119.153308) (xy 76.410739 118.933504) (xy 76.314568 118.727266) + (xy 76.184047 118.540861) (xy 76.184045 118.540858) (xy 76.023141 118.379954) (xy 75.836734 118.249432) + (xy 75.836728 118.249429) (xy 75.778725 118.222382) (xy 75.726285 118.17621) (xy 75.707133 118.109017) + (xy 75.727348 118.042135) (xy 75.778725 117.997618) (xy 75.779319 117.997341) (xy 75.836734 117.970568) + (xy 76.023139 117.840047) (xy 76.184047 117.679139) (xy 76.314568 117.492734) (xy 76.410739 117.286496) + (xy 76.469635 117.066692) (xy 76.489468 116.84) (xy 76.469635 116.613308) (xy 76.417096 116.417227) + (xy 76.410741 116.393511) (xy 76.410738 116.393502) (xy 76.378788 116.324985) (xy 76.314568 116.187266) + (xy 76.184047 116.000861) (xy 76.184045 116.000858) (xy 76.023141 115.839954) (xy 75.836734 115.709432) + (xy 75.836732 115.709431) (xy 75.821345 115.702256) (xy 75.778132 115.682105) (xy 75.725694 115.635934) + (xy 75.706542 115.56874) (xy 75.726758 115.501859) (xy 75.778134 115.457341) (xy 75.836484 115.430132) + (xy 76.02282 115.299657) (xy 76.183657 115.13882) (xy 76.314134 114.952482) (xy 76.410265 114.746326) + (xy 76.410269 114.746317) (xy 76.462872 114.55) (xy 75.499686 114.55) (xy 75.50408 114.545606) (xy 75.556741 114.454394) + (xy 75.584 114.352661) (xy 75.584 114.247339) (xy 75.556741 114.145606) (xy 75.50408 114.054394) + (xy 75.499686 114.05) (xy 76.462872 114.05) (xy 76.462872 114.049999) (xy 76.410269 113.853682) + (xy 76.410265 113.853673) (xy 76.314134 113.647517) (xy 76.183657 113.461179) (xy 76.02282 113.300342) + (xy 75.836482 113.169865) (xy 75.778133 113.142657) (xy 75.725694 113.096484) (xy 75.706542 113.029291) + (xy 75.726758 112.96241) (xy 75.778129 112.917895) (xy 75.836734 112.890568) (xy 76.023139 112.760047) + (xy 76.184047 112.599139) (xy 76.314118 112.413375) (xy 76.368693 112.369752) (xy 76.415692 112.3605) + (xy 78.041903 112.3605) (xy 78.108942 112.380185) (xy 78.129584 112.396819) (xy 79.520882 113.788117) + (xy 79.554367 113.84944) (xy 79.552976 113.907889) (xy 79.535795 113.972011) (xy 79.535792 113.972029) + (xy 79.516723 114.189997) (xy 79.516723 114.19) (xy 79.532699 114.372616) (xy 79.535793 114.407975) + (xy 79.535793 114.407979) (xy 79.592422 114.619322) (xy 79.592424 114.619326) (xy 79.592425 114.61933) + (xy 79.635012 114.710658) (xy 79.684897 114.817638) (xy 79.684898 114.817639) (xy 79.810402 114.996877) + (xy 79.965123 115.151598) (xy 80.144361 115.277102) (xy 80.34267 115.369575) (xy 80.554023 115.426207) + (xy 80.736926 115.442208) (xy 80.771998 115.445277) (xy 80.772 115.445277) (xy 80.772002 115.445277) + (xy 80.800254 115.442805) (xy 80.989977 115.426207) (xy 81.20133 115.369575) (xy 81.399639 115.277102) + (xy 81.578877 115.151598) (xy 81.733598 114.996877) (xy 81.841081 114.843374) (xy 81.895657 114.799751) + (xy 81.942655 114.7905) (xy 84.39577 114.7905) (xy 84.462809 114.810185) (xy 84.483451 114.826819) + (xy 84.516344 114.859712) (xy 84.665666 114.951814) (xy 84.832203 115.006999) (xy 84.934991 115.0175) + (xy 85.710008 115.017499) (xy 85.710016 115.017498) (xy 85.710019 115.017498) (xy 85.772797 115.011085) + (xy 85.812797 115.006999) (xy 85.979334 114.951814) (xy 86.128656 114.859712) (xy 86.252712 114.735656) + (xy 86.254752 114.732347) (xy 86.256745 114.730555) (xy 86.257193 114.729989) (xy 86.257289 114.730065) + (xy 86.306694 114.685623) (xy 86.375656 114.674395) (xy 86.43974 114.702234) (xy 86.465829 114.732339) + (xy 86.467681 114.735341) (xy 86.467683 114.735344) (xy 86.591654 114.859315) (xy 86.740875 114.951356) + (xy 86.74088 114.951358) (xy 86.907302 115.006505) (xy 86.907309 115.006506) (xy 87.010019 115.016999) + (xy 87.147499 115.016999) (xy 87.1475 115.016998) (xy 87.1475 112.567) (xy 87.010027 112.567) (xy 87.010012 112.567001) + (xy 86.907302 112.577494) (xy 86.74088 112.632641) (xy 86.740875 112.632643) (xy 86.591654 112.724684) + (xy 86.467683 112.848655) (xy 86.467679 112.84866) (xy 86.465826 112.851665) (xy 86.464018 112.85329) + (xy 86.463202 112.854323) (xy 86.463025 112.854183) (xy 86.413874 112.898385) (xy 86.344911 112.909601) + (xy 86.280831 112.881752) (xy 86.254753 112.851653) (xy 86.254737 112.851628) (xy 86.252712 112.848344) + (xy 86.176754 112.772386) (xy 86.143269 112.711063) (xy 86.148253 112.641371) (xy 86.190125 112.585438) + (xy 86.198463 112.579711) (xy 86.218691 112.567001) (xy 86.354262 112.481816) (xy 86.481816 112.354262) + (xy 86.577789 112.201522) (xy 86.637368 112.031255) (xy 86.637369 112.031249) (xy 86.657565 111.852003) + (xy 86.657565 111.851996) (xy 86.637369 111.67275) (xy 86.637368 111.672745) (xy 86.608713 111.590855) + (xy 86.577789 111.502478) (xy 86.481816 111.349738) (xy 86.354262 111.222184) (xy 86.319633 111.200425) + (xy 86.201522 111.12621) (xy 86.195249 111.12319) (xy 86.196431 111.120734) (xy 86.149715 111.087216) + (xy 86.127683 111.043003) (xy 86.121578 111.020219) (xy 86.121577 111.020217) (xy 86.121577 111.020216) + (xy 86.088077 110.962193) (xy 86.071605 110.894294) (xy 86.094458 110.828267) (xy 86.123611 110.800984) + (xy 86.122987 110.800195) (xy 86.128654 110.795713) (xy 86.128653 110.795713) (xy 86.128656 110.795712) + (xy 86.252712 110.671656) (xy 86.254752 110.668347) (xy 86.256745 110.666555) (xy 86.257193 110.665989) + (xy 86.257289 110.666065) (xy 86.306694 110.621623) (xy 86.375656 110.610395) (xy 86.43974 110.638234) + (xy 86.465829 110.668339) (xy 86.467681 110.671341) (xy 86.467683 110.671344) (xy 86.591654 110.795315) + (xy 86.740875 110.887356) (xy 86.74088 110.887358) (xy 86.907302 110.942505) (xy 86.907309 110.942506) + (xy 87.010019 110.952999) (xy 87.147499 110.952999) (xy 87.6475 110.952999) (xy 87.784972 110.952999) + (xy 87.784986 110.952998) (xy 87.887697 110.942505) (xy 88.054119 110.887358) (xy 88.054124 110.887356) + (xy 88.203345 110.795315) (xy 88.327315 110.671345) (xy 88.419356 110.522124) (xy 88.419358 110.522119) + (xy 88.474505 110.355697) (xy 88.474506 110.35569) (xy 88.484999 110.252986) (xy 88.485 110.252973) + (xy 88.485 109.978) (xy 87.6475 109.978) (xy 87.6475 110.952999) (xy 87.147499 110.952999) (xy 87.1475 110.952998) + (xy 87.1475 109.602) (xy 87.167185 109.534961) (xy 87.219989 109.489206) (xy 87.2715 109.478) (xy 88.484999 109.478) + (xy 88.484999 109.203028) (xy 88.484998 109.203013) (xy 88.474505 109.100302) (xy 88.4305 108.967504) + (xy 88.428098 108.897676) (xy 88.46383 108.837634) (xy 88.52635 108.806441) (xy 88.548206 108.8045) + (xy 88.825588 108.8045) (xy 88.892627 108.824185) (xy 88.902903 108.831555) (xy 88.905736 108.833814) + (xy 88.905738 108.833816) (xy 89.007371 108.897676) (xy 89.04131 108.919002) (xy 89.058478 108.929789) + (xy 89.226264 108.9885) (xy 89.228745 108.989368) (xy 89.22875 108.989369) (xy 89.407996 109.009565) + (xy 89.408 109.009565) (xy 89.408004 109.009565) (xy 89.587249 108.989369) (xy 89.587252 108.989368) + (xy 89.587255 108.989368) (xy 89.757522 108.929789) (xy 89.910262 108.833816) (xy 90.037816 108.706262) + (xy 90.133789 108.553522) (xy 90.193368 108.383255) (xy 90.193638 108.380858) (xy 90.213565 108.204003) + (xy 90.213565 108.203996) (xy 90.193369 108.02475) (xy 90.193368 108.024745) (xy 90.167558 107.950984) + (xy 90.133789 107.854478) (xy 90.106198 107.810568) (xy 90.083884 107.775055) (xy 90.037816 107.701738) + (xy 89.910262 107.574184) (xy 89.899117 107.567181) (xy 89.757523 107.478211) (xy 89.587254 107.418631) + (xy 89.587249 107.41863) (xy 89.408004 107.398435) (xy 89.407996 107.398435) (xy 89.22875 107.41863) + (xy 89.228745 107.418631) (xy 89.058476 107.478211) (xy 88.905736 107.574185) (xy 88.902903 107.576445) + (xy 88.900724 107.577334) (xy 88.899842 107.577889) (xy 88.899744 107.577734) (xy 88.838217 107.602855) + (xy 88.825588 107.6035) (xy 85.586411 107.6035) (xy 85.519372 107.583815) (xy 85.49873 107.567181) + (xy 84.59647 106.664921) (xy 84.562985 106.603598) (xy 84.567969 106.533906) (xy 84.609841 106.477973) + (xy 84.675305 106.453556) (xy 84.698032 106.454019) (xy 84.752788 106.460189) (xy 84.835997 106.469565) + (xy 84.836 106.469565) (xy 84.836004 106.469565) (xy 85.015249 106.449369) (xy 85.015252 106.449368) + (xy 85.015255 106.449368) (xy 85.185522 106.389789) (xy 85.338262 106.293816) (xy 85.465816 106.166262) + (xy 85.561789 106.013522) (xy 85.6007 105.902319) (xy 85.641422 105.845544) (xy 85.706374 105.819796) + (xy 85.756742 105.825567) (xy 85.869703 105.862999) (xy 85.972491 105.8735) (xy 86.747508 105.873499) + (xy 86.747516 105.873498) (xy 86.747519 105.873498) (xy 86.827791 105.865298) (xy 86.850297 105.862999) + (xy 87.016834 105.807814) (xy 87.166156 105.715712) (xy 87.290212 105.591656) (xy 87.292252 105.588347) + (xy 87.294245 105.586555) (xy 87.294693 105.585989) (xy 87.294789 105.586065) (xy 87.344194 105.541623) + (xy 87.413156 105.530395) (xy 87.47724 105.558234) (xy 87.503329 105.588339) (xy 87.505181 105.591341) + (xy 87.505183 105.591344) (xy 87.629154 105.715315) (xy 87.778375 105.807356) (xy 87.77838 105.807358) + (xy 87.944802 105.862505) (xy 87.944809 105.862506) (xy 88.047519 105.872999) (xy 88.184999 105.872999) + (xy 88.685 105.872999) (xy 88.822472 105.872999) (xy 88.822486 105.872998) (xy 88.925197 105.862505) + (xy 89.091619 105.807358) (xy 89.091624 105.807356) (xy 89.240845 105.715315) (xy 89.331069 105.625092) + (xy 89.392392 105.591607) (xy 89.462084 105.596591) (xy 89.506431 105.625092) (xy 89.596654 105.715315) + (xy 89.745875 105.807356) (xy 89.74588 105.807358) (xy 89.912302 105.862505) (xy 89.912309 105.862506) + (xy 90.015019 105.872999) (xy 90.152499 105.872999) (xy 90.1525 105.872998) (xy 90.1525 104.898) + (xy 88.685 104.898) (xy 88.685 105.872999) (xy 88.184999 105.872999) (xy 88.185 105.872998) (xy 88.185 104.398) + (xy 88.685 104.398) (xy 90.1525 104.398) (xy 90.1525 103.423) (xy 90.015027 103.423) (xy 90.015012 103.423001) + (xy 89.912302 103.433494) (xy 89.74588 103.488641) (xy 89.745875 103.488643) (xy 89.596654 103.580684) + (xy 89.506431 103.670908) (xy 89.445108 103.704393) (xy 89.375416 103.699409) (xy 89.331069 103.670908) + (xy 89.240845 103.580684) (xy 89.091624 103.488643) (xy 89.091619 103.488641) (xy 88.925197 103.433494) + (xy 88.92519 103.433493) (xy 88.822486 103.423) (xy 88.685 103.423) (xy 88.685 104.398) (xy 88.185 104.398) + (xy 88.185 103.423) (xy 88.047527 103.423) (xy 88.047512 103.423001) (xy 87.944802 103.433494) (xy 87.77838 103.488641) + (xy 87.778375 103.488643) (xy 87.629154 103.580684) (xy 87.505183 103.704655) (xy 87.505179 103.70466) + (xy 87.503326 103.707665) (xy 87.501518 103.70929) (xy 87.500702 103.710323) (xy 87.500525 103.710183) + (xy 87.451374 103.754385) (xy 87.382411 103.765601) (xy 87.318331 103.737752) (xy 87.292253 103.707653) + (xy 87.292237 103.707628) (xy 87.290212 103.704344) (xy 87.166156 103.580288) (xy 87.019402 103.48977) + (xy 86.972679 103.437823) (xy 86.9605 103.384232) (xy 86.9605 101.436809) (xy 86.980185 101.36977) + (xy 87.032989 101.324015) (xy 87.073693 101.313281) (xy 87.085977 101.312207) (xy 87.29733 101.255575) + (xy 87.495639 101.163102) (xy 87.674877 101.037598) (xy 87.829598 100.882877) (xy 87.955102 100.703639) + (xy 88.047575 100.50533) (xy 88.104207 100.293977) (xy 88.123277 100.076) (xy 88.123277 100.075997) + (xy 90.492723 100.075997) (xy 90.492723 100.076002) (xy 90.511793 100.293975) (xy 90.511793 100.293979) + (xy 90.568422 100.505322) (xy 90.568424 100.505326) (xy 90.568425 100.50533) (xy 90.612548 100.599953) + (xy 90.660897 100.703638) (xy 90.660898 100.703639) (xy 90.786402 100.882877) (xy 90.941123 101.037598) + (xy 91.094625 101.145081) (xy 91.138249 101.199657) (xy 91.1475 101.246655) (xy 91.1475 103.346207) + (xy 91.127815 103.413246) (xy 91.075011 103.459001) (xy 91.005853 103.468945) (xy 90.984497 103.463913) + (xy 90.892701 103.433495) (xy 90.89269 103.433493) (xy 90.789986 103.423) (xy 90.6525 103.423) (xy 90.6525 105.872999) + (xy 90.789972 105.872999) (xy 90.789986 105.872998) (xy 90.892697 105.862505) (xy 91.059119 105.807358) + (xy 91.059124 105.807356) (xy 91.208345 105.715315) (xy 91.332318 105.591342) (xy 91.334165 105.588348) + (xy 91.335969 105.586724) (xy 91.336798 105.585677) (xy 91.336976 105.585818) (xy 91.38611 105.541621) + (xy 91.455073 105.530396) (xy 91.519156 105.558236) (xy 91.545242 105.588339) (xy 91.547288 105.591656) + (xy 91.671344 105.715712) (xy 91.820666 105.807814) (xy 91.987203 105.862999) (xy 92.089991 105.8735) + (xy 92.865008 105.873499) (xy 92.865016 105.873498) (xy 92.865019 105.873498) (xy 92.945291 105.865298) + (xy 92.967797 105.862999) (xy 93.134334 105.807814) (xy 93.283656 105.715712) (xy 93.407712 105.591656) + (xy 93.499814 105.442334) (xy 93.554999 105.275797) (xy 93.5655 105.173009) (xy 93.565499 104.122992) + (xy 93.564938 104.117505) (xy 93.554999 104.020203) (xy 93.554998 104.0202) (xy 93.542328 103.981965) + (xy 93.499814 103.853666) (xy 93.407712 103.704344) (xy 93.283656 103.580288) (xy 93.184991 103.519431) + (xy 93.134336 103.488187) (xy 93.134331 103.488185) (xy 93.131002 103.487082) (xy 92.967797 103.433001) + (xy 92.967795 103.433) (xy 92.865016 103.4225) (xy 92.865009 103.4225) (xy 92.4725 103.4225) (xy 92.405461 103.402815) + (xy 92.359706 103.350011) (xy 92.3485 103.2985) (xy 92.3485 101.246655) (xy 92.368185 101.179616) + (xy 92.401373 101.145082) (xy 92.554877 101.037598) (xy 92.709598 100.882877) (xy 92.835102 100.703639) + (xy 92.927575 100.50533) (xy 92.984207 100.293977) (xy 93.003277 100.076) (xy 92.984207 99.858023) + (xy 92.935944 99.677902) (xy 92.927577 99.646677) (xy 92.927576 99.646676) (xy 92.927575 99.64667) + (xy 92.835102 99.448362) (xy 92.8351 99.448359) (xy 92.835099 99.448357) (xy 92.709599 99.269124) + (xy 92.638667 99.198192) (xy 92.554877 99.114402) (xy 92.375639 98.988898) (xy 92.37564 98.988898) + (xy 92.375638 98.988897) (xy 92.276484 98.942661) (xy 92.17733 98.896425) (xy 92.177326 98.896424) + (xy 92.177322 98.896422) (xy 91.965977 98.839793) (xy 91.748002 98.820723) (xy 91.747998 98.820723) + (xy 91.644269 98.829798) (xy 91.530023 98.839793) (xy 91.53002 98.839793) (xy 91.318677 98.896422) + (xy 91.31867 98.896424) (xy 91.31867 98.896425) (xy 91.294759 98.907575) (xy 91.120361 98.988898) + (xy 91.120357 98.9889) (xy 90.941121 99.114402) (xy 90.786402 99.269121) (xy 90.6609 99.448357) + (xy 90.660898 99.448361) (xy 90.568426 99.646668) (xy 90.568422 99.646677) (xy 90.511793 99.85802) + (xy 90.511793 99.858024) (xy 90.492723 100.075997) (xy 88.123277 100.075997) (xy 88.104207 99.858023) + (xy 88.055944 99.677902) (xy 88.047577 99.646677) (xy 88.047576 99.646676) (xy 88.047575 99.64667) + (xy 87.955102 99.448362) (xy 87.9551 99.448359) (xy 87.955099 99.448357) (xy 87.829599 99.269124) + (xy 87.758667 99.198192) (xy 87.674877 99.114402) (xy 87.495639 98.988898) (xy 87.49564 98.988898) + (xy 87.495638 98.988897) (xy 87.396484 98.942661) (xy 87.29733 98.896425) (xy 87.297326 98.896424) + (xy 87.297322 98.896422) (xy 87.085977 98.839793) (xy 86.868002 98.820723) (xy 86.867998 98.820723) + (xy 86.764269 98.829798) (xy 86.650023 98.839793) (xy 86.65002 98.839793) (xy 86.438677 98.896422) + (xy 86.43867 98.896424) (xy 86.43867 98.896425) (xy 86.414759 98.907575) (xy 86.240361 98.988898) + (xy 86.240357 98.9889) (xy 86.061121 99.114402) (xy 85.906402 99.269121) (xy 85.7809 99.448357) + (xy 85.780898 99.448361) (xy 85.688426 99.646668) (xy 85.688422 99.646677) (xy 85.631793 99.85802) + (xy 85.631793 99.858024) (xy 85.612723 100.075997) (xy 85.612723 100.076002) (xy 85.631793 100.293975) + (xy 85.631793 100.293979) (xy 85.688422 100.505322) (xy 85.688424 100.505326) (xy 85.688425 100.50533) + (xy 85.721379 100.576) (xy 85.747882 100.632835) (xy 85.7595 100.68524) (xy 85.7595 101.134719) + (xy 85.739815 101.201758) (xy 85.687011 101.247513) (xy 85.617853 101.257457) (xy 85.554297 101.228432) + (xy 85.530506 101.200691) (xy 85.4761 101.114105) (xy 85.465816 101.097738) (xy 85.338262 100.970184) + (xy 85.301653 100.947181) (xy 85.185521 100.87421) (xy 85.015249 100.81463) (xy 84.92833 100.804837) + (xy 84.863916 100.77777) (xy 84.854533 100.769298) (xy 84.456819 100.371584) (xy 84.423334 100.310261) + (xy 84.4205 100.283903) (xy 84.4205 95.137361) (xy 84.440185 95.070322) (xy 84.492989 95.024567) + (xy 84.562147 95.014623) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 80.851451 119.757713) (xy 80.877537 119.787817) (xy 80.879288 119.790656) (xy 81.003344 119.914712) + (xy 81.004794 119.915606) (xy 81.00558 119.91648) (xy 81.009011 119.919193) (xy 81.008547 119.919778) + (xy 81.051521 119.967553) (xy 81.062745 120.036515) (xy 81.056743 120.062101) (xy 81.002632 120.216742) + (xy 81.00263 120.21675) (xy 80.982435 120.395996) (xy 80.982435 120.396003) (xy 81.00263 120.575249) + (xy 81.002631 120.575254) (xy 81.050877 120.713131) (xy 81.054438 120.78291) (xy 81.019709 120.843538) + (xy 80.998934 120.859623) (xy 80.969659 120.87768) (xy 80.876034 120.971305) (xy 80.81471 121.004789) + (xy 80.745019 120.999805) (xy 80.700672 120.971304) (xy 80.606657 120.877289) (xy 80.606656 120.877288) + (xy 80.457334 120.785186) (xy 80.457332 120.785185) (xy 80.451187 120.781395) (xy 80.45229 120.779605) + (xy 80.407649 120.74029) (xy 80.3885 120.674091) (xy 80.3885 120.077769) (xy 80.408185 120.01073) + (xy 80.447404 119.97223) (xy 80.454987 119.967553) (xy 80.540656 119.914712) (xy 80.664712 119.790656) + (xy 80.666461 119.787819) (xy 80.668169 119.786283) (xy 80.669193 119.784989) (xy 80.669414 119.785163) + (xy 80.718406 119.741096) (xy 80.787368 119.729872) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 66.224978 114.489033) (xy 66.276183 114.536571) (xy 66.289407 114.567903) (xy 66.337258 114.746488) + (xy 66.337261 114.746497) (xy 66.433431 114.952732) (xy 66.433432 114.952734) (xy 66.563954 115.139141) + (xy 66.724858 115.300045) (xy 66.771693 115.332839) (xy 66.911266 115.430568) (xy 66.969865 115.457893) + (xy 67.022305 115.504065) (xy 67.041457 115.571258) (xy 67.021242 115.638139) (xy 66.969867 115.682657) + (xy 66.911515 115.709867) (xy 66.725179 115.840342) (xy 66.564342 116.001179) (xy 66.433865 116.187517) + (xy 66.351203 116.364788) (xy 66.305031 116.417227) (xy 66.237837 116.436379) (xy 66.170956 116.416163) + (xy 66.125621 116.362998) (xy 66.115463 116.324985) (xy 66.106505 116.237302) (xy 66.051358 116.07088) + (xy 66.051356 116.070875) (xy 65.959315 115.921654) (xy 65.835345 115.797684) (xy 65.686124 115.705643) + (xy 65.686119 115.705641) (xy 65.519697 115.650494) (xy 65.51969 115.650493) (xy 65.416986 115.64) + (xy 65.267 115.64) (xy 65.267 118.039999) (xy 65.416972 118.039999) (xy 65.416986 118.039998) (xy 65.519697 118.029505) + (xy 65.686119 117.974358) (xy 65.686124 117.974356) (xy 65.835345 117.882315) (xy 65.959315 117.758345) + (xy 66.051356 117.609124) (xy 66.051358 117.609119) (xy 66.106505 117.442697) (xy 66.106506 117.442689) + (xy 66.115463 117.355016) (xy 66.141859 117.290325) (xy 66.199039 117.250173) (xy 66.26885 117.247309) + (xy 66.329127 117.282642) (xy 66.351203 117.315213) (xy 66.433865 117.492482) (xy 66.564342 117.67882) + (xy 66.725179 117.839657) (xy 66.911518 117.970134) (xy 66.91152 117.970135) (xy 66.969865 117.997342) + (xy 67.022305 118.043514) (xy 67.041457 118.110707) (xy 67.021242 118.177589) (xy 66.969867 118.222105) + (xy 66.911268 118.249431) (xy 66.911264 118.249433) (xy 66.724858 118.379954) (xy 66.563954 118.540858) + (xy 66.505753 118.62398) (xy 66.433881 118.726624) (xy 66.379307 118.770248) (xy 66.332308 118.7795) + (xy 62.345465 118.7795) (xy 62.278426 118.759815) (xy 62.232671 118.707011) (xy 62.222727 118.637853) + (xy 62.228423 118.614546) (xy 62.253367 118.543257) (xy 62.253368 118.543255) (xy 62.260255 118.482135) + (xy 62.273565 118.364003) (xy 62.273565 118.363996) (xy 62.253369 118.18475) (xy 62.253366 118.184737) + (xy 62.241174 118.149894) (xy 62.237612 118.080115) (xy 62.27234 118.019488) (xy 62.334334 117.98726) + (xy 62.397214 117.991232) (xy 62.514203 118.029999) (xy 62.616991 118.0405) (xy 63.417008 118.040499) + (xy 63.417016 118.040498) (xy 63.417019 118.040498) (xy 63.473302 118.034748) (xy 63.519797 118.029999) + (xy 63.686334 117.974814) (xy 63.835656 117.882712) (xy 63.929675 117.788692) (xy 63.990994 117.75521) + (xy 64.060686 117.760194) (xy 64.105034 117.788695) (xy 64.198654 117.882315) (xy 64.347875 117.974356) + (xy 64.34788 117.974358) (xy 64.514302 118.029505) (xy 64.514309 118.029506) (xy 64.617019 118.039999) + (xy 64.766999 118.039999) (xy 64.767 118.039998) (xy 64.767 115.64) (xy 64.617027 115.64) (xy 64.617012 115.640001) + (xy 64.514302 115.650494) (xy 64.34788 115.705641) (xy 64.347875 115.705643) (xy 64.198657 115.797682) + (xy 64.105034 115.891305) (xy 64.04371 115.924789) (xy 63.974019 115.919805) (xy 63.929672 115.891304) + (xy 63.835657 115.797289) (xy 63.835656 115.797288) (xy 63.686334 115.705186) (xy 63.686333 115.705185) + (xy 63.686332 115.705185) (xy 63.677493 115.702256) (xy 63.620049 115.662482) (xy 63.593228 115.597965) + (xy 63.5925 115.584551) (xy 63.5925 115.023057) (xy 63.612185 114.956018) (xy 63.651401 114.91752) + (xy 63.785656 114.834712) (xy 63.909712 114.710656) (xy 63.911461 114.707819) (xy 63.913169 114.706283) + (xy 63.914193 114.704989) (xy 63.914414 114.705163) (xy 63.963406 114.661096) (xy 64.032368 114.649872) + (xy 64.096451 114.677713) (xy 64.122537 114.707817) (xy 64.124288 114.710656) (xy 64.248344 114.834712) + (xy 64.397666 114.926814) (xy 64.564203 114.981999) (xy 64.666991 114.9925) (xy 65.417008 114.992499) + (xy 65.417016 114.992498) (xy 65.417019 114.992498) (xy 65.473302 114.986748) (xy 65.519797 114.981999) + (xy 65.686334 114.926814) (xy 65.835656 114.834712) (xy 65.959712 114.710656) (xy 66.051814 114.561334) + (xy 66.051923 114.561002) (xy 66.052058 114.560807) (xy 66.054864 114.554791) (xy 66.055891 114.55527) + (xy 66.091688 114.503555) (xy 66.156201 114.476726) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 59.686 102.182988) (xy 59.628993 102.150075) (xy 59.501826 102.116) (xy 59.370174 102.116) (xy 59.243007 102.150075) + (xy 59.186 102.182988) (xy 59.186 100.509012) (xy 59.243007 100.541925) (xy 59.370174 100.576) (xy 59.501826 100.576) + (xy 59.628993 100.541925) (xy 59.686 100.509012) + ) + ) + ) + (zone + (net 10) + (net_name "5V") + (layer "B.Cu") + (uuid "ac16eb2d-54ef-4d75-95c1-6eba79995bd5") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 119.25 62) (xy 49 62) (xy 49 142) (xy 50.25 143.25) (xy 117.5 143.25) (xy 120.25 140.5) (xy 120.25 63) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 111.778539 64.528185) (xy 111.824294 64.580989) (xy 111.8355 64.6325) (xy 111.8355 73.983829) + (xy 111.815815 74.050868) (xy 111.763011 74.096623) (xy 111.693853 74.106567) (xy 111.630297 74.077542) + (xy 111.615957 74.06287) (xy 111.458393 73.872409) (xy 111.458391 73.872407) (xy 111.264848 73.690657) + (xy 111.22903 73.657022) (xy 111.229027 73.65702) (xy 111.229021 73.657015) (xy 110.974495 73.472091) + (xy 110.974488 73.472086) (xy 110.974484 73.472084) (xy 110.698766 73.320506) (xy 110.698763 73.320504) + (xy 110.698758 73.320502) (xy 110.698757 73.320501) (xy 110.406228 73.204681) (xy 110.406225 73.20468) + (xy 110.101476 73.126434) (xy 110.101463 73.126432) (xy 109.789329 73.087) (xy 109.789318 73.087) + (xy 109.474682 73.087) (xy 109.47467 73.087) (xy 109.162536 73.126432) (xy 109.162523 73.126434) + (xy 108.857774 73.20468) (xy 108.857771 73.204681) (xy 108.565242 73.320501) (xy 108.565241 73.320502) + (xy 108.289516 73.472084) (xy 108.289504 73.472091) (xy 108.034978 73.657015) (xy 108.034968 73.657023) + (xy 107.805608 73.872407) (xy 107.805606 73.872409) (xy 107.605054 74.114834) (xy 107.605051 74.114838) + (xy 107.436464 74.38049) (xy 107.436461 74.380496) (xy 107.302499 74.665178) (xy 107.302497 74.665183) + (xy 107.20527 74.964416) (xy 107.146311 75.273488) (xy 107.14631 75.273495) (xy 107.126556 75.587494) + (xy 107.126556 75.587505) (xy 107.14631 75.901504) (xy 107.146311 75.901511) (xy 107.146312 75.901515) + (xy 107.204592 76.207032) (xy 107.20527 76.210583) (xy 107.302497 76.509816) (xy 107.302499 76.509821) + (xy 107.436461 76.794503) (xy 107.436464 76.794509) (xy 107.605051 77.060161) (xy 107.605054 77.060165) + (xy 107.805606 77.30259) (xy 107.805608 77.302592) (xy 107.80561 77.302594) (xy 107.894959 77.386498) + (xy 108.034968 77.517976) (xy 108.034978 77.517984) (xy 108.289504 77.702908) (xy 108.289509 77.70291) + (xy 108.289516 77.702916) (xy 108.565234 77.854494) (xy 108.565239 77.854496) (xy 108.565241 77.854497) + (xy 108.565242 77.854498) (xy 108.857771 77.970318) (xy 108.857774 77.970319) (xy 108.977277 78.001002) + (xy 109.162527 78.048566) (xy 109.224975 78.056455) (xy 109.47467 78.087999) (xy 109.474679 78.087999) + (xy 109.474682 78.088) (xy 109.474684 78.088) (xy 109.789316 78.088) (xy 109.789318 78.088) (xy 109.789321 78.087999) + (xy 109.789329 78.087999) (xy 109.975593 78.064468) (xy 110.101473 78.048566) (xy 110.406225 77.970319) + (xy 110.445846 77.954632) (xy 110.698757 77.854498) (xy 110.698758 77.854497) (xy 110.698756 77.854497) + (xy 110.698766 77.854494) (xy 110.974484 77.702916) (xy 111.22903 77.517978) (xy 111.45839 77.302594) + (xy 111.615957 77.112127) (xy 111.673856 77.073022) (xy 111.743708 77.071426) (xy 111.803333 77.107848) + (xy 111.833803 77.170724) (xy 111.8355 77.19117) (xy 111.8355 93.528271) (xy 111.815815 93.59531) + (xy 111.763011 93.641065) (xy 111.698246 93.651561) (xy 111.641873 93.6455) (xy 111.641865 93.6455) + (xy 109.846129 93.6455) (xy 109.846123 93.645501) (xy 109.786516 93.651908) (xy 109.651671 93.702202) + (xy 109.651664 93.702206) (xy 109.536455 93.788452) (xy 109.536452 93.788455) (xy 109.450206 93.903664) + (xy 109.450202 93.903671) (xy 109.399908 94.038517) (xy 109.393501 94.098116) (xy 109.3935 94.098135) + (xy 109.3935 94.2715) (xy 109.373815 94.338539) (xy 109.321011 94.384294) (xy 109.2695 94.3955) + (xy 107.274669 94.3955) (xy 107.274653 94.395499) (xy 107.267057 94.395499) (xy 107.108943 94.395499) + (xy 107.000891 94.424452) (xy 106.956214 94.436423) (xy 106.929256 94.451988) (xy 106.929255 94.451988) + (xy 106.819287 94.515477) (xy 106.819282 94.515481) (xy 105.691482 95.643281) (xy 105.69148 95.643283) + (xy 105.69148 95.643284) (xy 105.648449 95.717816) (xy 105.612423 95.780215) (xy 105.571499 95.932943) + (xy 105.571499 95.932945) (xy 105.571499 96.101046) (xy 105.5715 96.101059) (xy 105.5715 99.648652) + (xy 105.551815 99.715691) (xy 105.499011 99.761446) (xy 105.429853 99.77139) (xy 105.373189 99.747919) + (xy 105.33483 99.719203) (xy 105.334828 99.719202) (xy 105.199982 99.668908) (xy 105.199983 99.668908) + (xy 105.140383 99.662501) (xy 105.140381 99.6625) (xy 105.140373 99.6625) (xy 105.140364 99.6625) + (xy 103.139629 99.6625) (xy 103.139623 99.662501) (xy 103.080016 99.668908) (xy 102.945171 99.719202) + (xy 102.945164 99.719206) (xy 102.829955 99.805452) (xy 102.829952 99.805455) (xy 102.743706 99.920664) + (xy 102.743703 99.92067) (xy 102.733308 99.948541) (xy 102.691436 100.004474) (xy 102.625972 100.028891) + (xy 102.557699 100.014039) (xy 102.54425 100.005531) (xy 102.361538 99.872783) (xy 102.332564 99.85802) + (xy 102.157755 99.76895) (xy 101.940248 99.698278) (xy 101.754812 99.668908) (xy 101.714354 99.6625) + (xy 101.485646 99.6625) (xy 101.445188 99.668908) (xy 101.259753 99.698278) (xy 101.25975 99.698278) + (xy 101.042244 99.76895) (xy 100.838461 99.872783) (xy 100.772551 99.92067) (xy 100.653434 100.007214) + (xy 100.653432 100.007216) (xy 100.653431 100.007216) (xy 100.491716 100.168931) (xy 100.491709 100.16894) + (xy 100.430007 100.253864) (xy 100.374677 100.29653) (xy 100.305063 100.302508) (xy 100.243269 100.269901) + (xy 100.229372 100.253863) (xy 100.167907 100.169263) (xy 100.167902 100.169257) (xy 100.006242 100.007597) + (xy 99.821276 99.873211) (xy 99.617568 99.769417) (xy 99.400124 99.698765) (xy 99.31 99.68449) (xy 99.31 100.672252) + (xy 99.272292 100.650482) (xy 99.132409 100.613) (xy 98.987591 100.613) (xy 98.847708 100.650482) + (xy 98.81 100.672252) (xy 98.81 99.68449) (xy 98.809999 99.68449) (xy 98.719875 99.698765) (xy 98.502431 99.769417) + (xy 98.298723 99.873211) (xy 98.113757 100.007597) (xy 97.952097 100.169257) (xy 97.817711 100.354223) + (xy 97.713917 100.557929) (xy 97.643265 100.775371) (xy 97.621468 100.913) (xy 98.569252 100.913) + (xy 98.547482 100.950708) (xy 98.51 101.090591) (xy 98.51 101.235409) (xy 98.547482 101.375292) + (xy 98.569252 101.413) (xy 97.621468 101.413) (xy 97.644028 101.55544) (xy 97.642453 101.555689) + (xy 97.639299 101.618485) (xy 97.598624 101.675295) (xy 97.533693 101.701095) (xy 97.46512 101.687696) + (xy 97.434543 101.665308) (xy 94.755942 98.986707) (xy 94.722457 98.925384) (xy 94.727441 98.855692) + (xy 94.769313 98.799759) (xy 94.802671 98.781984) (xy 94.837519 98.76979) (xy 94.837518 98.76979) + (xy 94.837522 98.769789) (xy 94.990262 98.673816) (xy 95.117816 98.546262) (xy 95.213789 98.393522) + (xy 95.273368 98.223255) (xy 95.275709 98.202478) (xy 95.293565 98.044003) (xy 95.293565 98.043996) + (xy 95.273369 97.86475) (xy 95.273368 97.864745) (xy 95.213788 97.694475) (xy 95.141422 97.579307) + (xy 95.122421 97.51207) (xy 95.142788 97.445235) (xy 95.196056 97.40002) (xy 95.265312 97.390782) + (xy 95.270607 97.391717) (xy 95.415306 97.4205) (xy 95.415309 97.4205) (xy 98.640693 97.4205) (xy 98.640694 97.420499) + (xy 98.814666 97.385895) (xy 98.90176 97.349819) (xy 98.978547 97.318013) (xy 99.01422 97.294177) + (xy 99.089067 97.244166) (xy 99.09644 97.239238) (xy 99.126036 97.219464) (xy 100.521464 95.824036) + (xy 100.620012 95.676547) (xy 100.687895 95.512666) (xy 100.7225 95.338692) (xy 100.7225 95.161308) + (xy 100.687895 94.987334) (xy 100.620012 94.823454) (xy 100.530671 94.689745) (xy 100.521465 94.675966) + (xy 100.521459 94.675959) (xy 100.39604 94.55054) (xy 100.396033 94.550534) (xy 100.248547 94.451988) + (xy 100.084666 94.384105) (xy 100.084658 94.384103) (xy 99.910696 94.3495) (xy 99.910692 94.3495) + (xy 99.733308 94.3495) (xy 99.733303 94.3495) (xy 99.559341 94.384103) (xy 99.559333 94.384105) + (xy 99.395451 94.451988) (xy 99.247965 94.550534) (xy 99.247958 94.55054) (xy 98.215319 95.583181) + (xy 98.153996 95.616666) (xy 98.127638 95.6195) (xy 95.415306 95.6195) (xy 95.241341 95.654103) + (xy 95.241332 95.654106) (xy 95.077459 95.721983) (xy 95.077446 95.72199) (xy 94.929965 95.820535) + (xy 94.929961 95.820538) (xy 94.804538 95.945961) (xy 94.804535 95.945965) (xy 94.70599 96.093446) + (xy 94.705983 96.093459) (xy 94.638106 96.257332) (xy 94.638103 96.257341) (xy 94.6035 96.431304) + (xy 94.6035 96.502903) (xy 94.583815 96.569942) (xy 94.531011 96.615697) (xy 94.461853 96.625641) + (xy 94.398297 96.596616) (xy 94.391819 96.590584) (xy 92.584819 94.783584) (xy 92.551334 94.722261) + (xy 92.5485 94.695903) (xy 92.5485 93.900945) (xy 92.5485 93.900943) (xy 92.507577 93.748216) (xy 92.492757 93.722546) + (xy 92.428524 93.61129) (xy 92.428521 93.611286) (xy 92.42852 93.611284) (xy 92.316716 93.49948) + (xy 92.316715 93.499479) (xy 92.312385 93.495149) (xy 92.312374 93.495139) (xy 91.060819 92.243584) + (xy 91.027334 92.182261) (xy 91.0245 92.155903) (xy 91.0245 91.90528) (xy 91.044185 91.838241) (xy 91.096989 91.792486) + (xy 91.166147 91.782542) (xy 91.229703 91.811567) (xy 91.253492 91.839306) (xy 91.318184 91.942262) + (xy 91.445738 92.069816) (xy 91.47354 92.087285) (xy 91.594836 92.163501) (xy 91.598478 92.165789) + (xy 91.71701 92.207265) (xy 91.768745 92.225368) (xy 91.76875 92.225369) (xy 91.947996 92.245565) + (xy 91.948 92.245565) (xy 91.948004 92.245565) (xy 92.127249 92.225369) (xy 92.127252 92.225368) + (xy 92.127255 92.225368) (xy 92.297522 92.165789) (xy 92.450262 92.069816) (xy 92.450267 92.06981) + (xy 92.453097 92.067555) (xy 92.455275 92.066665) (xy 92.456158 92.066111) (xy 92.456255 92.066265) + (xy 92.517783 92.041145) (xy 92.530412 92.0405) (xy 105.577331 92.0405) (xy 105.577347 92.040501) + (xy 105.584943 92.040501) (xy 105.743054 92.040501) (xy 105.743057 92.040501) (xy 105.895785 91.999577) + (xy 105.945904 91.970639) (xy 106.032716 91.92052) (xy 106.14452 91.808716) (xy 106.14452 91.808714) + (xy 106.154728 91.798507) (xy 106.15473 91.798504) (xy 108.562506 89.390728) (xy 108.562511 89.390724) + (xy 108.572714 89.38052) (xy 108.572716 89.38052) (xy 108.68452 89.268716) (xy 108.763577 89.131784) + (xy 108.8045 88.979057) (xy 108.8045 79.810746) (xy 108.804501 79.810733) (xy 108.804501 79.642632) + (xy 108.804501 79.64263) (xy 108.763577 79.489902) (xy 108.703307 79.385512) (xy 108.68452 79.352971) + (xy 108.6187 79.287151) (xy 108.585215 79.225828) (xy 108.583163 79.213371) (xy 108.573368 79.126432) + (xy 108.513789 78.956165) (xy 108.417816 78.803425) (xy 108.290262 78.675871) (xy 108.137522 78.579898) + (xy 108.137519 78.579896) (xy 108.014465 78.536837) (xy 107.957689 78.496116) (xy 107.938378 78.46075) + (xy 107.928973 78.433871) (xy 107.913789 78.390478) (xy 107.817816 78.237738) (xy 107.690262 78.110184) + (xy 107.684611 78.106633) (xy 107.537523 78.014211) (xy 107.367254 77.954631) (xy 107.367249 77.95463) + (xy 107.188004 77.934435) (xy 107.187996 77.934435) (xy 107.00875 77.95463) (xy 107.008745 77.954631) + (xy 106.838476 78.014211) (xy 106.685737 78.110184) (xy 106.558184 78.237737) (xy 106.462209 78.39048) + (xy 106.428509 78.486789) (xy 106.387788 78.543565) (xy 106.322835 78.569312) (xy 106.254273 78.555856) + (xy 106.20387 78.507468) (xy 106.189314 78.452772) (xy 106.18794 78.452893) (xy 106.167635 78.220813) + (xy 106.167635 78.220808) (xy 106.108739 78.001004) (xy 106.012568 77.794766) (xy 105.882047 77.608361) + (xy 105.882045 77.608358) (xy 105.721141 77.447454) (xy 105.534734 77.316932) (xy 105.534732 77.316931) + (xy 105.328497 77.220761) (xy 105.328488 77.220758) (xy 105.108697 77.161866) (xy 105.108693 77.161865) + (xy 105.108692 77.161865) (xy 105.108691 77.161864) (xy 105.108686 77.161864) (xy 104.882002 77.142032) + (xy 104.881998 77.142032) (xy 104.655313 77.161864) (xy 104.655302 77.161866) (xy 104.435511 77.220758) + (xy 104.435502 77.220761) (xy 104.229267 77.316931) (xy 104.229265 77.316932) (xy 104.042858 77.447454) + (xy 103.881954 77.608358) (xy 103.755325 77.789206) (xy 103.751432 77.794766) (xy 103.74438 77.809887) + (xy 103.698209 77.862325) (xy 103.631015 77.881476) (xy 103.564134 77.86126) (xy 103.519619 77.809887) + (xy 103.512568 77.794766) (xy 103.382047 77.608361) (xy 103.382045 77.608358) (xy 103.221141 77.447454) + (xy 103.034734 77.316932) (xy 103.034732 77.316931) (xy 102.828497 77.220761) (xy 102.828488 77.220758) + (xy 102.608697 77.161866) (xy 102.608693 77.161865) (xy 102.608692 77.161865) (xy 102.608691 77.161864) + (xy 102.608686 77.161864) (xy 102.382002 77.142032) (xy 102.381998 77.142032) (xy 102.155313 77.161864) + (xy 102.155302 77.161866) (xy 101.935511 77.220758) (xy 101.935502 77.220761) (xy 101.729267 77.316931) + (xy 101.729265 77.316932) (xy 101.542858 77.447454) (xy 101.381954 77.608358) (xy 101.251432 77.794765) + (xy 101.251431 77.794767) (xy 101.155261 78.001002) (xy 101.155258 78.001011) (xy 101.096366 78.220802) + (xy 101.096364 78.220813) (xy 101.076532 78.447498) (xy 101.076532 78.447501) (xy 101.096364 78.674186) + (xy 101.096366 78.674197) (xy 101.155258 78.893988) (xy 101.15526 78.893992) (xy 101.155261 78.893996) + (xy 101.167039 78.919254) (xy 101.251431 79.100233) (xy 101.251433 79.100237) (xy 101.260409 79.113055) + (xy 101.282737 79.179261) (xy 101.265727 79.247028) (xy 101.23315 79.283442) (xy 101.224462 79.289946) + (xy 101.224451 79.289957) (xy 101.138206 79.405164) (xy 101.138202 79.405171) (xy 101.087908 79.540017) + (xy 101.081501 79.599616) (xy 101.0815 79.599635) (xy 101.0815 81.29537) (xy 101.081501 81.295376) + (xy 101.087908 81.354983) (xy 101.138202 81.489828) (xy 101.138206 81.489835) (xy 101.224452 81.605044) + (xy 101.224455 81.605047) (xy 101.339664 81.691293) (xy 101.339671 81.691297) (xy 101.474517 81.741591) + (xy 101.474516 81.741591) (xy 101.481444 81.742335) (xy 101.534127 81.748) (xy 103.229872 81.747999) + (xy 103.289483 81.741591) (xy 103.424331 81.691296) (xy 103.539546 81.605046) (xy 103.625796 81.489831) + (xy 103.676091 81.354983) (xy 103.676092 81.354972) (xy 103.677365 81.349588) (xy 103.711933 81.288869) + (xy 103.773841 81.256478) (xy 103.843433 81.262699) (xy 103.885725 81.290412) (xy 104.042858 81.447545) + (xy 104.042861 81.447547) (xy 104.229266 81.578068) (xy 104.435504 81.674239) (xy 104.655308 81.733135) + (xy 104.81723 81.747301) (xy 104.881998 81.752968) (xy 104.882 81.752968) (xy 104.882002 81.752968) + (xy 104.938807 81.747998) (xy 105.108692 81.733135) (xy 105.328496 81.674239) (xy 105.534734 81.578068) + (xy 105.721139 81.447547) (xy 105.882047 81.286639) (xy 106.012568 81.100234) (xy 106.108739 80.893996) + (xy 106.167635 80.674192) (xy 106.187468 80.4475) (xy 106.186738 80.439161) (xy 106.175572 80.311533) + (xy 106.167635 80.220808) (xy 106.108739 80.001004) (xy 106.012568 79.794766) (xy 105.90285 79.638071) + (xy 105.882048 79.608362) (xy 105.864901 79.591215) (xy 105.808865 79.535179) (xy 105.775382 79.473859) + (xy 105.780366 79.404167) (xy 105.808865 79.35982) (xy 105.882047 79.286639) (xy 106.012568 79.100234) + (xy 106.108739 78.893996) (xy 106.144011 78.762358) (xy 106.180374 78.7027) (xy 106.243221 78.67217) + (xy 106.312597 78.680464) (xy 106.366475 78.724949) (xy 106.387005 78.780569) (xy 106.40263 78.91925) + (xy 106.402631 78.919254) (xy 106.462211 79.089523) (xy 106.558185 79.242263) (xy 106.560445 79.245097) + (xy 106.561334 79.247275) (xy 106.561889 79.248158) (xy 106.561734 79.248255) (xy 106.586855 79.309783) + (xy 106.5875 79.322412) (xy 106.5875 88.091903) (xy 106.567815 88.158942) (xy 106.551181 88.179584) + (xy 104.943584 89.787181) (xy 104.882261 89.820666) (xy 104.855903 89.8235) (xy 92.022412 89.8235) + (xy 91.955373 89.803815) (xy 91.945097 89.796445) (xy 91.942262 89.794184) (xy 91.789523 89.698211) + (xy 91.619254 89.638631) (xy 91.619249 89.63863) (xy 91.440004 89.618435) (xy 91.439996 89.618435) + (xy 91.291064 89.635215) (xy 91.222242 89.62316) (xy 91.170863 89.575811) (xy 91.166069 89.566924) + (xy 91.14715 89.536814) (xy 91.069816 89.413738) (xy 90.942262 89.286184) (xy 90.940191 89.284883) + (xy 90.789523 89.190211) (xy 90.619254 89.130631) (xy 90.619249 89.13063) (xy 90.440004 89.110435) + (xy 90.439996 89.110435) (xy 90.26075 89.13063) (xy 90.260745 89.130631) (xy 90.090476 89.190211) + (xy 89.937737 89.286184) (xy 89.810184 89.413737) (xy 89.714211 89.566476) (xy 89.654631 89.736745) + (xy 89.65463 89.73675) (xy 89.634435 89.915996) (xy 89.634435 89.916003) (xy 89.65463 90.095249) + (xy 89.654631 90.095254) (xy 89.714211 90.265523) (xy 89.804494 90.409206) (xy 89.8235 90.475178) + (xy 89.8235 92.36933) (xy 89.823499 92.369348) (xy 89.823499 92.535054) (xy 89.823498 92.535054) + (xy 89.826179 92.545059) (xy 89.864114 92.686632) (xy 89.864424 92.687787) (xy 89.880988 92.716476) + (xy 89.880989 92.716478) (xy 89.943477 92.824712) (xy 89.943481 92.824717) (xy 90.062349 92.943585) + (xy 90.062355 92.94359) (xy 90.974792 93.856027) (xy 91.008277 93.91735) (xy 91.003293 93.987042) + (xy 90.961421 94.042975) (xy 90.900994 94.066928) (xy 90.75275 94.08363) (xy 90.752745 94.083631) + (xy 90.582476 94.143211) (xy 90.429737 94.239184) (xy 90.302184 94.366737) (xy 90.206211 94.519476) + (xy 90.146631 94.689745) (xy 90.14663 94.68975) (xy 90.126435 94.868996) (xy 90.126435 94.869003) + (xy 90.14663 95.048249) (xy 90.146631 95.048254) (xy 90.206211 95.218523) (xy 90.298069 95.364713) + (xy 90.302184 95.371262) (xy 90.429738 95.498816) (xy 90.520073 95.555577) (xy 90.57914 95.592692) + (xy 90.582478 95.594789) (xy 90.708169 95.63877) (xy 90.754895 95.66813) (xy 91.111181 96.024416) + (xy 91.144666 96.085739) (xy 91.1475 96.112097) (xy 91.1475 98.905345) (xy 91.127815 98.972384) + (xy 91.094623 99.00692) (xy 90.941121 99.114402) (xy 90.786402 99.269121) (xy 90.6609 99.448357) + (xy 90.660898 99.448361) (xy 90.568426 99.646668) (xy 90.568422 99.646677) (xy 90.511793 99.85802) + (xy 90.511793 99.858024) (xy 90.492723 100.075997) (xy 90.492723 100.076002) (xy 90.511793 100.293975) + (xy 90.511793 100.293979) (xy 90.568422 100.505322) (xy 90.568424 100.505326) (xy 90.568425 100.50533) + (xy 90.604042 100.581711) (xy 90.660897 100.703638) (xy 90.660898 100.703639) (xy 90.731437 100.804378) + (xy 90.753763 100.870582) (xy 90.736753 100.938349) (xy 90.685805 100.986163) (xy 90.629861 100.9995) + (xy 87.986139 100.9995) (xy 87.9191 100.979815) (xy 87.873345 100.927011) (xy 87.863401 100.857853) + (xy 87.884562 100.804379) (xy 87.955102 100.703639) (xy 88.047575 100.50533) (xy 88.104207 100.293977) + (xy 88.123277 100.076) (xy 88.104207 99.858023) (xy 88.047575 99.64667) (xy 87.955102 99.448362) + (xy 87.9551 99.448359) (xy 87.955099 99.448357) (xy 87.829599 99.269124) (xy 87.765074 99.204599) + (xy 87.674877 99.114402) (xy 87.637044 99.087911) (xy 87.521376 99.006918) (xy 87.477751 98.952341) + (xy 87.4685 98.905344) (xy 87.4685 94.562412) (xy 87.488185 94.495373) (xy 87.495555 94.485097) + (xy 87.49781 94.482267) (xy 87.497816 94.482262) (xy 87.593789 94.329522) (xy 87.653368 94.159255) + (xy 87.655709 94.138478) (xy 87.673565 93.980003) (xy 87.673565 93.979996) (xy 87.653369 93.80075) + (xy 87.653368 93.800745) (xy 87.618886 93.702202) (xy 87.593789 93.630478) (xy 87.581732 93.61129) + (xy 87.508756 93.495149) (xy 87.497816 93.477738) (xy 87.370262 93.350184) (xy 87.352896 93.339272) + (xy 87.217523 93.254211) (xy 87.047254 93.194631) (xy 87.047249 93.19463) (xy 86.868004 93.174435) + (xy 86.867996 93.174435) (xy 86.68875 93.19463) (xy 86.688745 93.194631) (xy 86.518476 93.254211) + (xy 86.365737 93.350184) (xy 86.238184 93.477737) (xy 86.142211 93.630476) (xy 86.082631 93.800745) + (xy 86.08263 93.80075) (xy 86.062435 93.979996) (xy 86.062435 93.980003) (xy 86.08263 94.159249) + (xy 86.082631 94.159254) (xy 86.142211 94.329523) (xy 86.238185 94.482263) (xy 86.240445 94.485097) + (xy 86.241334 94.487275) (xy 86.241889 94.488158) (xy 86.241734 94.488255) (xy 86.266855 94.549783) + (xy 86.2675 94.562412) (xy 86.2675 98.905345) (xy 86.247815 98.972384) (xy 86.214623 99.00692) (xy 86.061121 99.114402) + (xy 85.906402 99.269121) (xy 85.7809 99.448357) (xy 85.780898 99.448361) (xy 85.688426 99.646668) + (xy 85.688422 99.646677) (xy 85.631793 99.85802) (xy 85.631793 99.858024) (xy 85.612723 100.075997) + (xy 85.612723 100.076002) (xy 85.631793 100.293975) (xy 85.631793 100.293979) (xy 85.688422 100.505322) + (xy 85.688424 100.505326) (xy 85.688425 100.50533) (xy 85.724042 100.581711) (xy 85.780897 100.703638) + (xy 85.780898 100.703639) (xy 85.851437 100.804378) (xy 85.873763 100.870582) (xy 85.856753 100.938349) + (xy 85.805805 100.986163) (xy 85.749861 100.9995) (xy 85.418412 100.9995) (xy 85.351373 100.979815) + (xy 85.341097 100.972445) (xy 85.338263 100.970185) (xy 85.338262 100.970184) (xy 85.269553 100.927011) + (xy 85.185523 100.874211) (xy 85.015254 100.814631) (xy 85.015249 100.81463) (xy 84.836004 100.794435) + (xy 84.835996 100.794435) (xy 84.65675 100.81463) (xy 84.656745 100.814631) (xy 84.486476 100.874211) + (xy 84.333737 100.970184) (xy 84.206184 101.097737) (xy 84.110211 101.250476) (xy 84.050631 101.420745) + (xy 84.05063 101.42075) (xy 84.030435 101.599996) (xy 84.030435 101.600003) (xy 84.048925 101.764112) + (xy 84.03687 101.832934) (xy 83.989521 101.884313) (xy 83.979507 101.889715) (xy 83.978479 101.890209) + (xy 83.825737 101.986184) (xy 83.698184 102.113737) (xy 83.633494 102.216691) (xy 83.581159 102.262982) + (xy 83.512105 102.27363) (xy 83.448257 102.245255) (xy 83.409885 102.186865) (xy 83.4045 102.150719) + (xy 83.4045 93.264096) (xy 83.424185 93.197057) (xy 83.440814 93.17642) (xy 86.718506 89.898727) + (xy 86.718511 89.898724) (xy 86.728714 89.88852) (xy 86.728716 89.88852) (xy 86.84052 89.776716) + (xy 86.919577 89.639784) (xy 86.9605 89.487057) (xy 86.9605 88.466412) (xy 86.980185 88.399373) + (xy 86.987555 88.389097) (xy 86.98981 88.386267) (xy 86.989816 88.386262) (xy 87.085789 88.233522) + (xy 87.145368 88.063255) (xy 87.148499 88.035465) (xy 87.165565 87.884003) (xy 87.165565 87.883996) + (xy 87.145369 87.70475) (xy 87.145368 87.704745) (xy 87.129604 87.659693) (xy 87.085789 87.534478) + (xy 86.989816 87.381738) (xy 86.862262 87.254184) (xy 86.820352 87.22785) (xy 86.709523 87.158211) + (xy 86.539254 87.098631) (xy 86.539249 87.09863) (xy 86.360004 87.078435) (xy 86.359996 87.078435) + (xy 86.18075 87.09863) (xy 86.180745 87.098631) (xy 86.010476 87.158211) (xy 85.857737 87.254184) + (xy 85.730184 87.381737) (xy 85.634211 87.534476) (xy 85.574631 87.704745) (xy 85.57463 87.70475) + (xy 85.554435 87.883996) (xy 85.554435 87.884005) (xy 85.563745 87.966643) (xy 85.55169 88.035465) + (xy 85.50434 88.086843) (xy 85.43673 88.104467) (xy 85.426643 88.103745) (xy 85.344005 88.094435) + (xy 85.343996 88.094435) (xy 85.16475 88.11463) (xy 85.164745 88.114631) (xy 84.994476 88.174211) + (xy 84.841737 88.270184) (xy 84.714184 88.397737) (xy 84.61821 88.550478) (xy 84.55863 88.72075) + (xy 84.548837 88.807668) (xy 84.52177 88.872082) (xy 84.513298 88.881465) (xy 81.419286 91.975478) + (xy 81.307481 92.087282) (xy 81.30748 92.087284) (xy 81.263477 92.1635) (xy 81.228423 92.224215) + (xy 81.187499 92.376943) (xy 81.187499 92.376945) (xy 81.187499 92.545046) (xy 81.1875 92.545059) + (xy 81.1875 103.914903) (xy 81.167815 103.981942) (xy 81.115011 104.027697) (xy 81.045853 104.037641) + (xy 80.982297 104.008616) (xy 80.975819 104.002584) (xy 80.326337 103.353102) (xy 80.292852 103.291779) + (xy 80.297836 103.222087) (xy 80.326333 103.177744) (xy 80.385816 103.118262) (xy 80.481789 102.965522) + (xy 80.541368 102.795255) (xy 80.541369 102.795249) (xy 80.561565 102.616003) (xy 80.561565 102.615996) + (xy 80.541369 102.43675) (xy 80.541368 102.436745) (xy 80.500092 102.318786) (xy 80.481789 102.266478) + (xy 80.479592 102.262982) (xy 80.431753 102.186846) (xy 80.385816 102.113738) (xy 80.385814 102.113736) + (xy 80.385813 102.113734) (xy 80.38355 102.110896) (xy 80.382659 102.108715) (xy 80.382111 102.107842) + (xy 80.382264 102.107745) (xy 80.357144 102.046209) (xy 80.3565 102.033587) (xy 80.3565 98.641059) + (xy 80.356501 98.641046) (xy 80.356501 98.472945) (xy 80.356501 98.472943) (xy 80.315577 98.320215) + (xy 80.281959 98.261987) (xy 80.281959 98.261986) (xy 80.236524 98.18329) (xy 80.236521 98.183286) + (xy 80.23652 98.183284) (xy 80.124716 98.07148) (xy 80.124715 98.071479) (xy 80.120385 98.067149) + (xy 80.120374 98.067139) (xy 79.053024 96.999789) (xy 79.019539 96.938466) (xy 79.017485 96.898225) + (xy 79.037565 96.720006) (xy 79.037565 96.719999) (xy 79.017369 96.540753) (xy 79.017368 96.540748) + (xy 78.983399 96.443671) (xy 78.957789 96.370481) (xy 78.861816 96.217741) (xy 78.734262 96.090187) + (xy 78.688607 96.0615) (xy 78.581523 95.994214) (xy 78.411254 95.934634) (xy 78.41125 95.934633) + (xy 78.254155 95.916933) (xy 78.189741 95.889866) (xy 78.150186 95.832271) (xy 78.148049 95.762434) + (xy 78.180355 95.706035) (xy 78.296129 95.590262) (xy 78.392102 95.437522) (xy 78.435161 95.314465) + (xy 78.475883 95.25769) (xy 78.511247 95.238378) (xy 78.581522 95.213789) (xy 78.734262 95.117816) + (xy 78.861816 94.990262) (xy 78.957789 94.837522) (xy 79.017368 94.667255) (xy 79.017369 94.667249) + (xy 79.037565 94.488003) (xy 79.037565 94.487996) (xy 79.017369 94.30875) (xy 79.017368 94.308745) + (xy 79.004335 94.2715) (xy 78.957789 94.138478) (xy 78.861816 93.985738) (xy 78.734262 93.858184) + (xy 78.665553 93.815011) (xy 78.581521 93.76221) (xy 78.492566 93.731084) (xy 78.411255 93.702632) + (xy 78.411252 93.702631) (xy 78.404683 93.700333) (xy 78.405612 93.697677) (xy 78.355701 93.669726) + (xy 78.322875 93.608047) (xy 78.324301 93.559226) (xy 78.323439 93.559113) (xy 78.3245 93.551055) + (xy 78.3245 93.392945) (xy 78.3245 93.392943) (xy 78.283577 93.240216) (xy 78.267711 93.212735) + (xy 78.204524 93.10329) (xy 78.204518 93.103282) (xy 78.092717 92.991481) (xy 78.092709 92.991475) + (xy 77.95579 92.912426) (xy 77.955786 92.912424) (xy 77.955784 92.912423) (xy 77.803057 92.8715) + (xy 77.803056 92.8715) (xy 77.290412 92.8715) (xy 77.223373 92.851815) (xy 77.213097 92.844445) + (xy 77.210263 92.842185) (xy 77.210262 92.842184) (xy 77.073485 92.756241) (xy 77.057523 92.746211) + (xy 76.887254 92.686631) (xy 76.887249 92.68663) (xy 76.708004 92.666435) (xy 76.707996 92.666435) + (xy 76.52875 92.68663) (xy 76.528745 92.686631) (xy 76.358476 92.746211) (xy 76.205737 92.842184) + (xy 76.078184 92.969737) (xy 75.982211 93.122476) (xy 75.922631 93.292745) (xy 75.92263 93.29275) + (xy 75.902435 93.471994) (xy 75.902435 93.472003) (xy 75.92263 93.651249) (xy 75.922632 93.651257) + (xy 75.947577 93.722546) (xy 75.951138 93.792325) (xy 75.916409 93.852952) (xy 75.854415 93.885179) + (xy 75.830535 93.8875) (xy 74.8925 93.8875) (xy 74.825461 93.867815) (xy 74.779706 93.815011) (xy 74.7685 93.7635) + (xy 74.7685 92.884945) (xy 74.7685 92.884943) (xy 74.727577 92.732216) (xy 74.701925 92.687785) + (xy 74.648524 92.59529) (xy 74.648521 92.595286) (xy 74.64852 92.595284) (xy 74.536716 92.48348) + (xy 74.536715 92.483479) (xy 74.532385 92.479149) (xy 74.532374 92.479139) (xy 72.11559 90.062355) + (xy 72.115588 90.062352) (xy 71.904717 89.851481) (xy 71.904712 89.851477) (xy 71.805476 89.794184) + (xy 71.805475 89.794183) (xy 71.76779 89.772425) (xy 71.767789 89.772424) (xy 71.750752 89.767859) + (xy 71.615057 89.731499) (xy 71.456943 89.731499) (xy 71.449347 89.731499) (xy 71.449331 89.7315) + (xy 68.67194 89.7315) (xy 68.604901 89.711815) (xy 68.559146 89.659011) (xy 68.549202 89.589853) + (xy 68.578227 89.526297) (xy 68.584259 89.519819) (xy 70.907259 87.196819) (xy 70.968582 87.163334) + (xy 70.99494 87.1605) (xy 72.627638 87.1605) (xy 72.694677 87.180185) (xy 72.715319 87.196819) (xy 77.024536 91.506035) + (xy 77.087315 91.568814) (xy 77.149966 91.631465) (xy 77.297446 91.730009) (xy 77.297459 91.730016) + (xy 77.420363 91.780923) (xy 77.461334 91.797894) (xy 77.461336 91.797894) (xy 77.461341 91.797896) + (xy 77.635304 91.832499) (xy 77.635307 91.8325) (xy 77.635309 91.8325) (xy 80.860693 91.8325) (xy 80.860694 91.832499) + (xy 80.965928 91.811567) (xy 81.034658 91.797896) (xy 81.034661 91.797894) (xy 81.034666 91.797894) + (xy 81.198547 91.730013) (xy 81.346035 91.631464) (xy 81.471464 91.506035) (xy 81.570013 91.358547) + (xy 81.637894 91.194666) (xy 81.643784 91.165058) (xy 81.672499 91.020695) (xy 81.6725 91.020693) + (xy 81.6725 90.843306) (xy 81.672499 90.843304) (xy 81.637896 90.669341) (xy 81.637893 90.669332) + (xy 81.570016 90.505459) (xy 81.570009 90.505446) (xy 81.471464 90.357965) (xy 81.471461 90.357961) + (xy 81.346038 90.232538) (xy 81.346034 90.232535) (xy 81.198553 90.13399) (xy 81.19854 90.133983) + (xy 81.034667 90.066106) (xy 81.034658 90.066103) (xy 80.860694 90.0315) (xy 80.860691 90.0315) + (xy 79.844691 90.0315) (xy 78.148361 90.0315) (xy 78.081322 90.011815) (xy 78.06068 89.995181) (xy 75.945681 87.880181) + (xy 75.912196 87.818858) (xy 75.91718 87.749166) (xy 75.959052 87.693233) (xy 76.024516 87.668816) + (xy 76.033362 87.6685) (xy 80.212085 87.6685) (xy 80.225969 87.66928) (xy 80.263998 87.673565) (xy 80.264 87.673565) + (xy 80.264002 87.673565) (xy 80.302031 87.66928) (xy 80.315915 87.6685) (xy 80.342841 87.6685) (xy 80.342842 87.6685) + (xy 80.382017 87.660707) (xy 80.392283 87.65911) (xy 80.443255 87.653368) (xy 80.4671 87.645023) + (xy 80.483862 87.640448) (xy 80.497497 87.637737) (xy 80.546389 87.617484) (xy 80.552837 87.615023) + (xy 80.613522 87.593789) (xy 80.623868 87.587287) (xy 80.64239 87.57772) (xy 80.643179 87.577394) + (xy 80.697987 87.540771) (xy 80.700825 87.538931) (xy 80.766262 87.497816) (xy 80.893816 87.370262) + (xy 80.934931 87.304825) (xy 80.936777 87.301979) (xy 80.973389 87.247186) (xy 80.973394 87.247179) + (xy 80.97372 87.24639) (xy 80.983287 87.227868) (xy 80.989789 87.217522) (xy 81.011023 87.156837) + (xy 81.013484 87.150389) (xy 81.033737 87.101497) (xy 81.036448 87.087862) (xy 81.041023 87.0711) + (xy 81.049368 87.047255) (xy 81.05511 86.996283) (xy 81.056707 86.986017) (xy 81.0645 86.946842) + (xy 81.0645 86.919914) (xy 81.06528 86.906029) (xy 81.069565 86.868001) (xy 81.069565 86.867998) + (xy 81.069565 86.867996) (xy 90.126435 86.867996) (xy 90.126435 86.868003) (xy 90.14663 87.047249) + (xy 90.146631 87.047254) (xy 90.206211 87.217523) (xy 90.22485 87.247186) (xy 90.302184 87.370262) + (xy 90.429738 87.497816) (xy 90.488082 87.534476) (xy 90.582474 87.593787) (xy 90.582478 87.593789) + (xy 90.708074 87.637737) (xy 90.752745 87.653368) (xy 90.75275 87.653369) (xy 90.931996 87.673565) + (xy 90.932 87.673565) (xy 90.932004 87.673565) (xy 91.111249 87.653369) (xy 91.111252 87.653368) + (xy 91.111255 87.653368) (xy 91.281522 87.593789) (xy 91.282488 87.593181) (xy 91.291523 87.587506) + (xy 91.357494 87.5685) (xy 96.080996 87.5685) (xy 96.17204 87.550389) (xy 96.216328 87.54158) (xy 96.280069 87.515177) + (xy 96.343807 87.488777) (xy 96.343808 87.488776) (xy 96.343811 87.488775) (xy 96.458543 87.412114) + (xy 96.719291 87.151364) (xy 96.766011 87.122008) (xy 96.869522 87.085789) (xy 97.022262 86.989816) + (xy 97.149816 86.862262) (xy 97.245789 86.709522) (xy 97.305368 86.539255) (xy 97.307709 86.518478) + (xy 97.325565 86.360003) (xy 97.325565 86.359996) (xy 97.305369 86.18075) (xy 97.305368 86.180745) + (xy 97.297621 86.158605) (xy 97.245789 86.010478) (xy 97.149816 85.857738) (xy 97.022262 85.730184) + (xy 96.869523 85.634211) (xy 96.699254 85.574631) (xy 96.699249 85.57463) (xy 96.520004 85.554435) + (xy 96.519996 85.554435) (xy 96.34075 85.57463) (xy 96.340745 85.574631) (xy 96.170476 85.634211) + (xy 96.017737 85.730184) (xy 95.890184 85.857737) (xy 95.794212 86.010475) (xy 95.79421 86.010478) + (xy 95.77603 86.062435) (xy 95.768963 86.082633) (xy 95.768325 86.084455) (xy 95.727604 86.141231) + (xy 95.662651 86.166978) (xy 95.651284 86.1675) (xy 91.357494 86.1675) (xy 91.291523 86.148494) + (xy 91.281525 86.142212) (xy 91.111254 86.082631) (xy 91.111249 86.08263) (xy 90.932004 86.062435) + (xy 90.931996 86.062435) (xy 90.75275 86.08263) (xy 90.752745 86.082631) (xy 90.582476 86.142211) + (xy 90.429737 86.238184) (xy 90.302184 86.365737) (xy 90.206211 86.518476) (xy 90.146631 86.688745) + (xy 90.14663 86.68875) (xy 90.126435 86.867996) (xy 81.069565 86.867996) (xy 81.06528 86.829969) + (xy 81.0645 86.816085) (xy 81.0645 86.789157) (xy 81.056711 86.750003) (xy 81.05511 86.739714) (xy 81.049368 86.688745) + (xy 81.041023 86.664898) (xy 81.036449 86.648139) (xy 81.033737 86.634503) (xy 81.013484 86.585607) + (xy 81.011028 86.579175) (xy 80.989789 86.518478) (xy 80.983289 86.508134) (xy 80.973719 86.489606) + (xy 80.973394 86.488821) (xy 80.93679 86.43404) (xy 80.934905 86.431131) (xy 80.893816 86.365738) + (xy 80.766262 86.238184) (xy 80.76626 86.238182) (xy 80.766257 86.23818) (xy 80.7009 86.197114) + (xy 80.697982 86.195223) (xy 80.643179 86.158605) (xy 80.643173 86.158603) (xy 80.642365 86.158268) + (xy 80.623865 86.148709) (xy 80.613524 86.142212) (xy 80.613523 86.142211) (xy 80.613522 86.142211) + (xy 80.552867 86.120986) (xy 80.546377 86.118509) (xy 80.497496 86.098262) (xy 80.48386 86.09555) + (xy 80.467104 86.090976) (xy 80.44326 86.082633) (xy 80.443256 86.082632) (xy 80.443255 86.082632) + (xy 80.422575 86.080301) (xy 80.392303 86.07689) (xy 80.382003 86.075288) (xy 80.342844 86.0675) + (xy 80.342842 86.0675) (xy 80.315915 86.0675) (xy 80.302031 86.06672) (xy 80.264002 86.062435) (xy 80.263998 86.062435) + (xy 80.225969 86.06672) (xy 80.212085 86.0675) (xy 75.235915 86.0675) (xy 75.222031 86.06672) (xy 75.184002 86.062435) + (xy 75.183998 86.062435) (xy 75.145969 86.06672) (xy 75.132085 86.0675) (xy 75.105152 86.0675) (xy 75.065994 86.075288) + (xy 75.055696 86.07689) (xy 75.004742 86.082632) (xy 75.004735 86.082634) (xy 74.980898 86.090975) + (xy 74.96414 86.09555) (xy 74.950502 86.098263) (xy 74.950496 86.098264) (xy 74.901631 86.118504) + (xy 74.89514 86.120982) (xy 74.834477 86.14221) (xy 74.834474 86.142212) (xy 74.824127 86.148713) + (xy 74.805639 86.158266) (xy 74.804832 86.1586) (xy 74.804824 86.158604) (xy 74.750047 86.195204) + (xy 74.747132 86.197092) (xy 74.681742 86.23818) (xy 74.580392 86.33953) (xy 74.519069 86.373014) + (xy 74.449377 86.36803) (xy 74.40503 86.339529) (xy 73.726041 85.66054) (xy 73.726034 85.660534) + (xy 73.578548 85.561988) (xy 73.414666 85.494105) (xy 73.414658 85.494103) (xy 73.240696 85.4595) + (xy 73.240692 85.4595) (xy 73.063308 85.4595) (xy 73.063303 85.4595) (xy 72.889341 85.494103) (xy 72.889333 85.494105) + (xy 72.754246 85.550061) (xy 72.706793 85.5595) (xy 70.533154 85.5595) (xy 70.378509 85.590261) + (xy 70.378497 85.590264) (xy 70.335832 85.607936) (xy 70.335833 85.607937) (xy 70.232823 85.650604) + (xy 70.232814 85.650609) (xy 70.101712 85.738209) (xy 70.10171 85.738212) (xy 66.760741 89.079181) + (xy 66.699418 89.112666) (xy 66.67306 89.1155) (xy 65.961155 89.1155) (xy 65.80651 89.146261) (xy 65.806498 89.146264) + (xy 65.660827 89.206602) (xy 65.660814 89.206609) (xy 65.529711 89.29421) (xy 65.529707 89.294213) + (xy 65.418213 89.405707) (xy 65.41821 89.405711) (xy 65.330609 89.536814) (xy 65.330602 89.536827) + (xy 65.270264 89.682498) (xy 65.270261 89.68251) (xy 65.2395 89.837153) (xy 65.2395 92.58106) (xy 65.219815 92.648099) + (xy 65.203181 92.668741) (xy 61.972181 95.899741) (xy 61.910858 95.933226) (xy 61.841166 95.928242) + (xy 61.785233 95.88637) (xy 61.760816 95.820906) (xy 61.7605 95.81206) (xy 61.7605 92.33094) (xy 61.780185 92.263901) + (xy 61.796819 92.243259) (xy 64.121788 89.91829) (xy 64.129816 89.910262) (xy 64.170943 89.844806) + (xy 64.172761 89.842001) (xy 64.209393 89.787179) (xy 64.209719 89.786389) (xy 64.219293 89.767859) + (xy 64.225789 89.757522) (xy 64.24702 89.696843) (xy 64.249494 89.690365) (xy 64.262482 89.659011) + (xy 64.269737 89.641498) (xy 64.27245 89.627855) (xy 64.277021 89.611107) (xy 64.285368 89.587255) + (xy 64.291111 89.536282) (xy 64.292707 89.526015) (xy 64.3005 89.486843) (xy 64.3005 89.459914) + (xy 64.30128 89.446029) (xy 64.305565 89.408001) (xy 64.305565 89.407998) (xy 64.30128 89.369969) + (xy 64.3005 89.356085) (xy 64.3005 89.329157) (xy 64.292711 89.290003) (xy 64.29111 89.279714) (xy 64.285368 89.228745) + (xy 64.277023 89.204898) (xy 64.272449 89.188139) (xy 64.269737 89.174503) (xy 64.269735 89.174498) + (xy 64.269734 89.174494) (xy 64.249492 89.125627) (xy 64.247022 89.11916) (xy 64.225789 89.058478) + (xy 64.219287 89.048132) (xy 64.209717 89.029603) (xy 64.209394 89.028823) (xy 64.209392 89.02882) + (xy 64.172791 88.974043) (xy 64.170926 88.971166) (xy 64.129816 88.905738) (xy 64.002262 88.778184) + (xy 63.936842 88.737078) (xy 63.933923 88.735186) (xy 63.879179 88.698607) (xy 63.879172 88.698604) + (xy 63.878381 88.698276) (xy 63.859869 88.688712) (xy 63.853575 88.684757) (xy 63.849521 88.68221) + (xy 63.788856 88.660982) (xy 63.782363 88.658503) (xy 63.733497 88.638263) (xy 63.733493 88.638262) + (xy 63.71986 88.63555) (xy 63.703104 88.630976) (xy 63.67926 88.622633) (xy 63.679256 88.622632) + (xy 63.679255 88.622632) (xy 63.658575 88.620301) (xy 63.628303 88.61689) (xy 63.618003 88.615288) + (xy 63.578844 88.6075) (xy 63.578842 88.6075) (xy 63.551915 88.6075) (xy 63.538031 88.60672) (xy 63.500002 88.602435) + (xy 63.499998 88.602435) (xy 63.461969 88.60672) (xy 63.448085 88.6075) (xy 63.421154 88.6075) (xy 63.381996 88.615288) + (xy 63.371696 88.61689) (xy 63.320741 88.622632) (xy 63.296895 88.630976) (xy 63.280142 88.635549) + (xy 63.266503 88.638262) (xy 63.2665 88.638263) (xy 63.217628 88.658507) (xy 63.211131 88.660987) + (xy 63.150476 88.682211) (xy 63.140127 88.688714) (xy 63.121627 88.698272) (xy 63.120825 88.698604) + (xy 63.12082 88.698606) (xy 63.066065 88.735192) (xy 63.06315 88.737082) (xy 62.997736 88.778185) + (xy 62.997735 88.778186) (xy 61.720638 90.055284) (xy 60.449711 91.326211) (xy 60.417382 91.35854) + (xy 60.338209 91.437712) (xy 60.250609 91.568814) (xy 60.250602 91.568827) (xy 60.190264 91.714498) + (xy 60.190261 91.71451) (xy 60.1595 91.869153) (xy 60.1595 96.0615) (xy 60.139815 96.128539) (xy 60.087011 96.174294) + (xy 60.0355 96.1855) (xy 58.538129 96.1855) (xy 58.538123 96.185501) (xy 58.478516 96.191908) (xy 58.343671 96.242202) + (xy 58.343664 96.242206) (xy 58.228455 96.328452) (xy 58.228452 96.328455) (xy 58.142206 96.443664) + (xy 58.142202 96.443671) (xy 58.091908 96.578517) (xy 58.085501 96.638116) (xy 58.0855 96.638135) + (xy 58.0855 98.43387) (xy 58.085501 98.433876) (xy 58.091908 98.493483) (xy 58.142202 98.628328) + (xy 58.142206 98.628335) (xy 58.228452 98.743544) (xy 58.228455 98.743547) (xy 58.343664 98.829793) + (xy 58.343671 98.829797) (xy 58.475081 98.87881) (xy 58.531015 98.920681) (xy 58.555432 98.986145) + (xy 58.54058 99.054418) (xy 58.51943 99.082673) (xy 58.397503 99.2046) (xy 58.261965 99.398169) + (xy 58.261964 99.398171) (xy 58.162098 99.612335) (xy 58.162094 99.612344) (xy 58.100938 99.840586) + (xy 58.100936 99.840596) (xy 58.084028 100.033858) (xy 58.067678 100.075654) (xy 58.079477 100.094014) + (xy 58.084028 100.118141) (xy 58.100936 100.311403) (xy 58.100938 100.311413) (xy 58.162094 100.539655) + (xy 58.162096 100.539659) (xy 58.162097 100.539663) (xy 58.242842 100.712821) (xy 58.261965 100.75383) + (xy 58.261967 100.753834) (xy 58.362737 100.897747) (xy 58.39741 100.947266) (xy 58.397501 100.947395) + (xy 58.397506 100.947402) (xy 58.564599 101.114495) (xy 58.567533 101.116957) (xy 58.606234 101.175129) + (xy 58.607342 101.244989) (xy 58.602387 101.259397) (xy 58.570106 101.337329) (xy 58.570103 101.337341) + (xy 58.5355 101.511303) (xy 58.5355 101.555241) (xy 58.515815 101.62228) (xy 58.499181 101.642922) + (xy 58.397505 101.744597) (xy 58.261965 101.938169) (xy 58.261964 101.938171) (xy 58.180096 102.113738) + (xy 58.162852 102.150719) (xy 58.162098 102.152335) (xy 58.162094 102.152344) (xy 58.100938 102.380586) + (xy 58.100936 102.380596) (xy 58.084028 102.573858) (xy 58.067678 102.615654) (xy 58.079477 102.634014) + (xy 58.084028 102.658141) (xy 58.100936 102.851403) (xy 58.100938 102.851413) (xy 58.162094 103.079655) + (xy 58.162096 103.079659) (xy 58.162097 103.079663) (xy 58.225906 103.216501) (xy 58.261965 103.29383) + (xy 58.261967 103.293834) (xy 58.330591 103.391838) (xy 58.397501 103.487396) (xy 58.397506 103.487402) + (xy 58.564597 103.654493) (xy 58.564603 103.654498) (xy 58.750158 103.784425) (xy 58.793783 103.839002) + (xy 58.800977 103.9085) (xy 58.769454 103.970855) (xy 58.750158 103.987575) (xy 58.564597 104.117505) + (xy 58.397505 104.284597) (xy 58.261965 104.478169) (xy 58.261964 104.478171) (xy 58.162098 104.692335) + (xy 58.162094 104.692344) (xy 58.100938 104.920586) (xy 58.100936 104.920596) (xy 58.084028 105.113858) + (xy 58.067678 105.155654) (xy 58.079477 105.174014) (xy 58.084028 105.198141) (xy 58.100936 105.391403) + (xy 58.100938 105.391413) (xy 58.162094 105.619655) (xy 58.162096 105.619659) (xy 58.162097 105.619663) + (xy 58.227227 105.759334) (xy 58.261965 105.83383) (xy 58.261967 105.833834) (xy 58.3445 105.951702) + (xy 58.39741 106.027266) (xy 58.397501 106.027395) (xy 58.397506 106.027402) (xy 58.564597 106.194493) + (xy 58.564603 106.194498) (xy 58.750158 106.324425) (xy 58.793783 106.379002) (xy 58.800977 106.4485) + (xy 58.769454 106.510855) (xy 58.750158 106.527575) (xy 58.564597 106.657505) (xy 58.397505 106.824597) + (xy 58.261965 107.018169) (xy 58.261964 107.018171) (xy 58.162098 107.232335) (xy 58.162094 107.232344) + (xy 58.100938 107.460586) (xy 58.100936 107.460596) (xy 58.084028 107.653858) (xy 58.067678 107.695654) + (xy 58.079477 107.714014) (xy 58.084028 107.738141) (xy 58.100936 107.931403) (xy 58.100938 107.931413) + (xy 58.162094 108.159655) (xy 58.162096 108.159659) (xy 58.162097 108.159663) (xy 58.227227 108.299334) + (xy 58.261965 108.37383) (xy 58.261967 108.373834) (xy 58.268564 108.383255) (xy 58.397505 108.567401) + (xy 58.564599 108.734495) (xy 58.564601 108.734496) (xy 58.564603 108.734498) (xy 58.750158 108.864425) + (xy 58.793783 108.919002) (xy 58.800977 108.9885) (xy 58.769454 109.050855) (xy 58.750158 109.067575) + (xy 58.564597 109.197505) (xy 58.397505 109.364597) (xy 58.261965 109.558169) (xy 58.261964 109.558171) + (xy 58.162098 109.772335) (xy 58.162094 109.772344) (xy 58.100938 110.000586) (xy 58.100936 110.000596) + (xy 58.084028 110.193858) (xy 58.067678 110.235654) (xy 58.079477 110.254014) (xy 58.084028 110.278141) + (xy 58.100936 110.471403) (xy 58.100938 110.471413) (xy 58.162094 110.699655) (xy 58.162096 110.699659) + (xy 58.162097 110.699663) (xy 58.225439 110.8355) (xy 58.261965 110.91383) (xy 58.261967 110.913834) + (xy 58.304538 110.974631) (xy 58.39741 111.107266) (xy 58.397501 111.107395) (xy 58.397506 111.107402) + (xy 58.564597 111.274493) (xy 58.564603 111.274498) (xy 58.750158 111.404425) (xy 58.793783 111.459002) + (xy 58.800977 111.5285) (xy 58.769454 111.590855) (xy 58.750158 111.607575) (xy 58.564597 111.737505) + (xy 58.397505 111.904597) (xy 58.261965 112.098169) (xy 58.261964 112.098171) (xy 58.162098 112.312335) + (xy 58.162094 112.312344) (xy 58.100938 112.540586) (xy 58.100936 112.540596) (xy 58.084028 112.733858) + (xy 58.067678 112.775654) (xy 58.079477 112.794014) (xy 58.084028 112.818141) (xy 58.100936 113.011403) + (xy 58.100938 113.011413) (xy 58.162094 113.239655) (xy 58.162096 113.239659) (xy 58.162097 113.239663) + (xy 58.225906 113.376501) (xy 58.261965 113.45383) (xy 58.261967 113.453834) (xy 58.337956 113.562357) + (xy 58.39741 113.647266) (xy 58.397501 113.647395) (xy 58.397506 113.647402) (xy 58.564597 113.814493) + (xy 58.564603 113.814498) (xy 58.750158 113.944425) (xy 58.793783 113.999002) (xy 58.800977 114.0685) + (xy 58.769454 114.130855) (xy 58.750158 114.147575) (xy 58.564597 114.277505) (xy 58.397505 114.444597) + (xy 58.261965 114.638169) (xy 58.261964 114.638171) (xy 58.162098 114.852335) (xy 58.162094 114.852344) + (xy 58.100938 115.080586) (xy 58.100936 115.080596) (xy 58.084028 115.273858) (xy 58.067678 115.315654) + (xy 58.079477 115.334014) (xy 58.084027 115.35814) (xy 58.085566 115.375731) (xy 58.100936 115.551403) + (xy 58.100938 115.551413) (xy 58.162094 115.779655) (xy 58.162096 115.779659) (xy 58.162097 115.779663) + (xy 58.229252 115.923677) (xy 58.261965 115.99383) (xy 58.261967 115.993834) (xy 58.346256 116.11421) + (xy 58.397505 116.187401) (xy 58.564599 116.354495) (xy 58.57172 116.359481) (xy 58.758165 116.490032) + (xy 58.758167 116.490033) (xy 58.75817 116.490035) (xy 58.972337 116.589903) (xy 59.200592 116.651063) + (xy 59.388918 116.667539) (xy 59.435999 116.671659) (xy 59.436 116.671659) (xy 59.436001 116.671659) + (xy 59.475234 116.668226) (xy 59.671408 116.651063) (xy 59.899663 116.589903) (xy 60.11383 116.490035) + (xy 60.307401 116.354495) (xy 60.474495 116.187401) (xy 60.610035 115.99383) (xy 60.709903 115.779663) + (xy 60.771063 115.551408) (xy 60.791659 115.316) (xy 60.771063 115.080592) (xy 60.709903 114.852337) + (xy 60.610035 114.638171) (xy 60.596844 114.619331) (xy 60.474494 114.444597) (xy 60.307402 114.277506) + (xy 60.307396 114.277501) (xy 60.121842 114.147575) (xy 60.078217 114.092998) (xy 60.071023 114.0235) + (xy 60.102546 113.961145) (xy 60.121842 113.944425) (xy 60.146005 113.927506) (xy 60.307401 113.814495) + (xy 60.474495 113.647401) (xy 60.610035 113.45383) (xy 60.612707 113.448097) (xy 60.658878 113.395658) + (xy 60.725091 113.3765) (xy 66.384822 113.3765) (xy 66.451861 113.396185) (xy 66.497616 113.448989) + (xy 66.50756 113.518147) (xy 66.486398 113.571622) (xy 66.433431 113.647267) (xy 66.337261 113.853502) + (xy 66.337258 113.853511) (xy 66.278366 114.073302) (xy 66.278364 114.073313) (xy 66.258532 114.299998) + (xy 66.258532 114.300001) (xy 66.278364 114.526686) (xy 66.278366 114.526697) (xy 66.337258 114.746488) + (xy 66.337261 114.746497) (xy 66.433431 114.952732) (xy 66.433432 114.952734) (xy 66.563954 115.139141) + (xy 66.724858 115.300045) (xy 66.771693 115.332839) (xy 66.911266 115.430568) (xy 66.969275 115.457618) + (xy 67.021714 115.503791) (xy 67.040866 115.570984) (xy 67.02065 115.637865) (xy 66.969275 115.682382) + (xy 66.911267 115.709431) (xy 66.911265 115.709432) (xy 66.724858 115.839954) (xy 66.563954 116.000858) + (xy 66.433432 116.187265) (xy 66.433431 116.187267) (xy 66.337261 116.393502) (xy 66.337258 116.393511) + (xy 66.278366 116.613302) (xy 66.278364 116.613313) (xy 66.258532 116.839998) (xy 66.258532 116.840001) + (xy 66.278364 117.066686) (xy 66.278366 117.066697) (xy 66.337258 117.286488) (xy 66.337261 117.286497) + (xy 66.433431 117.492732) (xy 66.433432 117.492734) (xy 66.563954 117.679141) (xy 66.724858 117.840045) + (xy 66.724861 117.840047) (xy 66.911266 117.970568) (xy 66.969275 117.997618) (xy 67.021714 118.043791) + (xy 67.040866 118.110984) (xy 67.02065 118.177865) (xy 66.969275 118.222382) (xy 66.911267 118.249431) + (xy 66.911265 118.249432) (xy 66.724858 118.379954) (xy 66.563954 118.540858) (xy 66.433432 118.727265) + (xy 66.433431 118.727267) (xy 66.337261 118.933502) (xy 66.337258 118.933511) (xy 66.278366 119.153302) + (xy 66.278364 119.153313) (xy 66.258532 119.379998) (xy 66.258532 119.380001) (xy 66.278364 119.606686) + (xy 66.278366 119.606697) (xy 66.337258 119.826488) (xy 66.337261 119.826497) (xy 66.433431 120.032732) + (xy 66.433432 120.032734) (xy 66.563954 120.219141) (xy 66.724858 120.380045) (xy 66.724861 120.380047) + (xy 66.911266 120.510568) (xy 66.969275 120.537618) (xy 67.021714 120.583791) (xy 67.040866 120.650984) + (xy 67.02065 120.717865) (xy 66.969275 120.762381) (xy 66.95992 120.766744) (xy 66.911267 120.789431) + (xy 66.911265 120.789432) (xy 66.724858 120.919954) (xy 66.563954 121.080858) (xy 66.433432 121.267265) + (xy 66.433431 121.267267) (xy 66.337261 121.473502) (xy 66.337258 121.473511) (xy 66.278366 121.693302) + (xy 66.278364 121.693313) (xy 66.258532 121.919998) (xy 66.258532 121.920001) (xy 66.268083 122.029169) + (xy 66.254316 122.097669) (xy 66.205701 122.147852) (xy 66.137673 122.163785) (xy 66.071829 122.14041) + (xy 66.037167 122.101975) (xy 66.012521 122.059285) (xy 65.896385 121.943149) (xy 65.896374 121.943139) + (xy 62.2987 118.345465) (xy 62.265215 118.284142) (xy 62.263163 118.271686) (xy 62.253368 118.184745) + (xy 62.193789 118.014478) (xy 62.097816 117.861738) (xy 61.970262 117.734184) (xy 61.817523 117.638211) + (xy 61.647254 117.578631) (xy 61.647249 117.57863) (xy 61.468004 117.558435) (xy 61.467996 117.558435) + (xy 61.28875 117.57863) (xy 61.288745 117.578631) (xy 61.118476 117.638211) (xy 60.965737 117.734184) + (xy 60.838184 117.861737) (xy 60.742209 118.014479) (xy 60.733814 118.038472) (xy 60.693091 118.095248) + (xy 60.628138 118.120994) (xy 60.559577 118.107537) (xy 60.542461 118.096782) (xy 60.528331 118.086204) + (xy 60.528328 118.086202) (xy 60.393482 118.035908) (xy 60.393483 118.035908) (xy 60.333883 118.029501) + (xy 60.333881 118.0295) (xy 60.333873 118.0295) (xy 60.333864 118.0295) (xy 58.538129 118.0295) + (xy 58.538123 118.029501) (xy 58.478516 118.035908) (xy 58.343671 118.086202) (xy 58.343664 118.086206) + (xy 58.228455 118.172452) (xy 58.228452 118.172455) (xy 58.142206 118.287664) (xy 58.142202 118.287671) + (xy 58.091908 118.422517) (xy 58.085501 118.482116) (xy 58.0855 118.482135) (xy 58.0855 120.27787) + (xy 58.085501 120.277876) (xy 58.091908 120.337483) (xy 58.142202 120.472328) (xy 58.142206 120.472335) + (xy 58.228452 120.587544) (xy 58.228455 120.587547) (xy 58.343664 120.673793) (xy 58.343671 120.673797) + (xy 58.475081 120.72281) (xy 58.531015 120.764681) (xy 58.555432 120.830145) (xy 58.54058 120.898418) + (xy 58.51943 120.926673) (xy 58.397503 121.0486) (xy 58.261965 121.242169) (xy 58.261964 121.242171) + (xy 58.162098 121.456335) (xy 58.162094 121.456344) (xy 58.100938 121.684586) (xy 58.100936 121.684596) + (xy 58.084028 121.877858) (xy 58.067678 121.919654) (xy 58.079477 121.938014) (xy 58.084028 121.962141) + (xy 58.100936 122.155403) (xy 58.100938 122.155413) (xy 58.162094 122.383655) (xy 58.162096 122.383659) + (xy 58.162097 122.383663) (xy 58.21015 122.486713) (xy 58.261965 122.59783) (xy 58.261967 122.597834) + (xy 58.397501 122.791395) (xy 58.397506 122.791402) (xy 58.564597 122.958493) (xy 58.564603 122.958498) + (xy 58.750158 123.088425) (xy 58.793783 123.143002) (xy 58.800977 123.2125) (xy 58.769454 123.274855) + (xy 58.750158 123.291575) (xy 58.564597 123.421505) (xy 58.397505 123.588597) (xy 58.261965 123.782169) + (xy 58.261964 123.782171) (xy 58.162098 123.996335) (xy 58.162094 123.996344) (xy 58.100938 124.224586) + (xy 58.100936 124.224596) (xy 58.084028 124.417858) (xy 58.067678 124.459654) (xy 58.079477 124.478014) + (xy 58.084028 124.502141) (xy 58.100936 124.695403) (xy 58.100938 124.695413) (xy 58.162094 124.923655) + (xy 58.162096 124.923659) (xy 58.162097 124.923663) (xy 58.23022 125.069752) (xy 58.261965 125.13783) + (xy 58.261967 125.137834) (xy 58.397501 125.331395) (xy 58.397506 125.331402) (xy 58.564597 125.498493) + (xy 58.564603 125.498498) (xy 58.750158 125.628425) (xy 58.793783 125.683002) (xy 58.800977 125.7525) + (xy 58.769454 125.814855) (xy 58.750158 125.831575) (xy 58.564597 125.961505) (xy 58.397505 126.128597) + (xy 58.261965 126.322169) (xy 58.261964 126.322171) (xy 58.162098 126.536335) (xy 58.162094 126.536344) + (xy 58.100938 126.764586) (xy 58.100936 126.764596) (xy 58.084028 126.957858) (xy 58.067678 126.999654) + (xy 58.079477 127.018014) (xy 58.084028 127.042141) (xy 58.100936 127.235403) (xy 58.100938 127.235413) + (xy 58.162094 127.463655) (xy 58.162096 127.463659) (xy 58.162097 127.463663) (xy 58.227251 127.603385) + (xy 58.261965 127.67783) (xy 58.261967 127.677834) (xy 58.397501 127.871395) (xy 58.397506 127.871402) + (xy 58.564597 128.038493) (xy 58.564603 128.038498) (xy 58.750158 128.168425) (xy 58.793783 128.223002) + (xy 58.800977 128.2925) (xy 58.769454 128.354855) (xy 58.750158 128.371575) (xy 58.564597 128.501505) + (xy 58.397505 128.668597) (xy 58.261965 128.862169) (xy 58.261964 128.862171) (xy 58.162098 129.076335) + (xy 58.162094 129.076344) (xy 58.100938 129.304586) (xy 58.100936 129.304596) (xy 58.084028 129.497858) + (xy 58.067678 129.539654) (xy 58.079477 129.558014) (xy 58.084028 129.582141) (xy 58.100936 129.775403) + (xy 58.100938 129.775413) (xy 58.162094 130.003655) (xy 58.162096 130.003659) (xy 58.162097 130.003663) + (xy 58.225906 130.140501) (xy 58.261965 130.21783) (xy 58.261967 130.217834) (xy 58.397501 130.411395) + (xy 58.397506 130.411402) (xy 58.564597 130.578493) (xy 58.564603 130.578498) (xy 58.750158 130.708425) + (xy 58.793783 130.763002) (xy 58.800977 130.8325) (xy 58.769454 130.894855) (xy 58.750158 130.911575) + (xy 58.564597 131.041505) (xy 58.397505 131.208597) (xy 58.261965 131.402169) (xy 58.261964 131.402171) + (xy 58.162098 131.616335) (xy 58.162094 131.616344) (xy 58.100938 131.844586) (xy 58.100936 131.844596) + (xy 58.084028 132.037858) (xy 58.067678 132.079654) (xy 58.079477 132.098014) (xy 58.084028 132.122141) + (xy 58.100936 132.315403) (xy 58.100938 132.315413) (xy 58.162094 132.543655) (xy 58.162096 132.543659) + (xy 58.162097 132.543663) (xy 58.237672 132.705734) (xy 58.261965 132.75783) (xy 58.261967 132.757834) + (xy 58.296744 132.8075) (xy 58.397505 132.951401) (xy 58.564599 133.118495) (xy 58.645374 133.175054) + (xy 58.758165 133.254032) (xy 58.758167 133.254033) (xy 58.75817 133.254035) (xy 58.794805 133.271118) + (xy 58.847244 133.31729) (xy 58.866396 133.384484) (xy 58.84618 133.451365) (xy 58.793015 133.4967) + (xy 58.7424 133.5075) (xy 57.9605 133.5075) (xy 57.893461 133.487815) (xy 57.847706 133.435011) + (xy 57.8365 133.3835) (xy 57.8365 132.132949) (xy 57.852718 132.077714) (xy 57.838931 132.051482) + (xy 57.8365 132.02705) (xy 57.8365 129.592949) (xy 57.852718 129.537714) (xy 57.838931 129.511482) + (xy 57.8365 129.48705) (xy 57.8365 127.052949) (xy 57.852718 126.997714) (xy 57.838931 126.971482) + (xy 57.8365 126.94705) (xy 57.8365 124.512949) (xy 57.852718 124.457714) (xy 57.838931 124.431482) + (xy 57.8365 124.40705) (xy 57.8365 121.972949) (xy 57.852718 121.917714) (xy 57.838931 121.891482) + (xy 57.8365 121.86705) (xy 57.8365 115.368949) (xy 57.852718 115.313714) (xy 57.838931 115.287482) + (xy 57.8365 115.26305) (xy 57.8365 112.828949) (xy 57.852718 112.773714) (xy 57.838931 112.747482) + (xy 57.8365 112.72305) (xy 57.8365 110.288949) (xy 57.852718 110.233714) (xy 57.838931 110.207482) + (xy 57.8365 110.18305) (xy 57.8365 107.748949) (xy 57.852718 107.693714) (xy 57.838931 107.667482) + (xy 57.8365 107.64305) (xy 57.8365 105.208949) (xy 57.852718 105.153714) (xy 57.838931 105.127482) + (xy 57.8365 105.10305) (xy 57.8365 102.668949) (xy 57.852718 102.613714) (xy 57.838931 102.587482) + (xy 57.8365 102.56305) (xy 57.8365 100.128949) (xy 57.852718 100.073714) (xy 57.838931 100.047482) + (xy 57.8365 100.02305) (xy 57.8365 77.145659) (xy 57.856185 77.07862) (xy 57.908989 77.032865) (xy 57.978147 77.022921) + (xy 58.040428 77.050857) (xy 58.143868 77.13807) (xy 58.34581 77.256574) (xy 58.564874 77.339245) + (xy 58.794759 77.383705) (xy 58.847378 77.3865) (xy 58.847386 77.3865) (xy 60.784614 77.3865) (xy 60.784622 77.3865) + (xy 60.837241 77.383705) (xy 61.067126 77.339245) (xy 61.28619 77.256574) (xy 61.488132 77.13807) + (xy 61.523977 77.107848) (xy 61.667141 76.987143) (xy 61.667143 76.987141) (xy 61.818065 76.808138) + (xy 61.818065 76.808137) (xy 61.81807 76.808132) (xy 61.936574 76.60619) (xy 62.019245 76.387126) + (xy 62.019756 76.384485) (xy 62.020281 76.383467) (xy 62.020683 76.38205) (xy 62.020971 76.382131) + (xy 62.051812 76.322403) (xy 62.112343 76.287507) (xy 62.182131 76.290876) (xy 62.239019 76.33144) + (xy 62.264946 76.396322) (xy 62.2655 76.40803) (xy 62.2655 79.93387) (xy 62.265501 79.933876) (xy 62.271908 79.993483) + (xy 62.322202 80.128328) (xy 62.322206 80.128335) (xy 62.408452 80.243544) (xy 62.408455 80.243547) + (xy 62.523664 80.329793) (xy 62.523671 80.329797) (xy 62.658517 80.380091) (xy 62.658516 80.380091) + (xy 62.665444 80.380835) (xy 62.718127 80.3865) (xy 66.313872 80.386499) (xy 66.373483 80.380091) + (xy 66.508331 80.329796) (xy 66.623546 80.243546) (xy 66.709796 80.128331) (xy 66.760091 79.993483) + (xy 66.7665 79.933873) (xy 66.7665 78.990572) (xy 86.011 78.990572) (xy 86.011 78.998) (xy 86.93144 78.998) + (xy 86.900755 79.051147) (xy 86.866 79.180857) (xy 86.866 79.315143) (xy 86.900755 79.444853) (xy 86.93144 79.498) + (xy 86.011 79.498) (xy 86.011 79.505427) (xy 86.044611 79.717639) (xy 86.044611 79.717642) (xy 86.111003 79.921975) + (xy 86.208547 80.113416) (xy 86.334838 80.28724) (xy 86.486759 80.439161) (xy 86.660583 80.565452) + (xy 86.852024 80.662996) (xy 87.056359 80.729388) (xy 87.125999 80.740418) (xy 87.126 80.740418) + (xy 87.126 79.69256) (xy 87.179147 79.723245) (xy 87.308857 79.758) (xy 87.443143 79.758) (xy 87.572853 79.723245) + (xy 87.626 79.69256) (xy 87.626 80.740418) (xy 87.695638 80.729388) (xy 87.695641 80.729388) (xy 87.899975 80.662996) + (xy 88.091416 80.565452) (xy 88.26524 80.439161) (xy 88.417161 80.28724) (xy 88.417166 80.287234) + (xy 88.545373 80.110773) (xy 88.600703 80.068107) (xy 88.670316 80.062128) (xy 88.732111 80.094734) + (xy 88.746009 80.110772) (xy 88.748119 80.113676) (xy 88.74812 80.113678) (xy 88.874455 80.287563) + (xy 89.026437 80.439545) (xy 89.200322 80.56588) (xy 89.294251 80.613739) (xy 89.391829 80.663458) + (xy 89.391832 80.663459) (xy 89.582494 80.725408) (xy 89.596245 80.729876) (xy 89.808533 80.7635) + (xy 89.808534 80.7635) (xy 90.023466 80.7635) (xy 90.023467 80.7635) (xy 90.235755 80.729876) (xy 90.235758 80.729875) + (xy 90.235759 80.729875) (xy 90.440167 80.663459) (xy 90.44017 80.663458) (xy 90.441077 80.662996) + (xy 90.631678 80.56588) (xy 90.805563 80.439545) (xy 90.900092 80.345015) (xy 90.961411 80.311533) + (xy 91.031103 80.316517) (xy 91.087037 80.358388) (xy 91.103952 80.389366) (xy 91.147202 80.505328) + (xy 91.147206 80.505335) (xy 91.233452 80.620544) (xy 91.233455 80.620547) (xy 91.348664 80.706793) + (xy 91.348671 80.706797) (xy 91.483517 80.757091) (xy 91.483516 80.757091) (xy 91.490444 80.757835) + (xy 91.543127 80.7635) (xy 93.368872 80.763499) (xy 93.428483 80.757091) (xy 93.563331 80.706796) + (xy 93.678546 80.620546) (xy 93.764796 80.505331) (xy 93.815091 80.370483) (xy 93.8215 80.310873) + (xy 93.821499 78.185128) (xy 93.815091 78.125517) (xy 93.809372 78.110184) (xy 93.764797 77.990671) + (xy 93.764793 77.990664) (xy 93.678547 77.875455) (xy 93.678544 77.875452) (xy 93.563335 77.789206) + (xy 93.563328 77.789202) (xy 93.428482 77.738908) (xy 93.428483 77.738908) (xy 93.368883 77.732501) + (xy 93.368881 77.7325) (xy 93.368873 77.7325) (xy 93.368864 77.7325) (xy 91.543129 77.7325) (xy 91.543123 77.732501) + (xy 91.483516 77.738908) (xy 91.348671 77.789202) (xy 91.348664 77.789206) (xy 91.233455 77.875452) + (xy 91.233452 77.875455) (xy 91.147206 77.990664) (xy 91.147202 77.990671) (xy 91.103952 78.106633) + (xy 91.062081 78.162567) (xy 90.996617 78.186984) (xy 90.928344 78.172133) (xy 90.900089 78.150981) + (xy 90.805565 78.056457) (xy 90.805563 78.056455) (xy 90.631678 77.93012) (xy 90.44017 77.832541) + (xy 90.440167 77.83254) (xy 90.235757 77.766124) (xy 90.094229 77.743708) (xy 90.023467 77.7325) + (xy 89.808533 77.7325) (xy 89.73777 77.743708) (xy 89.596243 77.766124) (xy 89.59624 77.766124) + (xy 89.391832 77.83254) (xy 89.391829 77.832541) (xy 89.200321 77.93012) (xy 89.116981 77.990671) + (xy 89.026437 78.056455) (xy 89.026435 78.056457) (xy 89.026434 78.056457) (xy 88.874457 78.208434) + (xy 88.874457 78.208435) (xy 88.874455 78.208437) (xy 88.853167 78.237738) (xy 88.746009 78.385227) + (xy 88.690679 78.427892) (xy 88.621065 78.433871) (xy 88.55927 78.401265) (xy 88.545373 78.385227) + (xy 88.417161 78.208759) (xy 88.26524 78.056838) (xy 88.091416 77.930547) (xy 87.899975 77.833003) + (xy 87.695635 77.76661) (xy 87.695636 77.76661) (xy 87.626 77.755581) (xy 87.626 78.803439) (xy 87.572853 78.772755) + (xy 87.443143 78.738) (xy 87.308857 78.738) (xy 87.179147 78.772755) (xy 87.126 78.803439) (xy 87.126 77.755581) + (xy 87.056364 77.76661) (xy 86.852024 77.833003) (xy 86.660583 77.930547) (xy 86.486759 78.056838) + (xy 86.334838 78.208759) (xy 86.208547 78.382583) (xy 86.111003 78.574024) (xy 86.044611 78.778357) + (xy 86.044611 78.77836) (xy 86.011 78.990572) (xy 66.7665 78.990572) (xy 66.766499 76.338128) (xy 66.760091 76.278517) + (xy 66.748288 76.246872) (xy 66.709797 76.143671) (xy 66.709793 76.143664) (xy 66.623547 76.028455) + (xy 66.623544 76.028452) (xy 66.508335 75.942206) (xy 66.508328 75.942202) (xy 66.373482 75.891908) + (xy 66.373483 75.891908) (xy 66.313883 75.885501) (xy 66.313881 75.8855) (xy 66.313873 75.8855) + (xy 66.313864 75.8855) (xy 62.718129 75.8855) (xy 62.718123 75.885501) (xy 62.658516 75.891908) + (xy 62.523671 75.942202) (xy 62.523664 75.942206) (xy 62.408455 76.028452) (xy 62.408452 76.028455) + (xy 62.322206 76.143664) (xy 62.322203 76.143669) (xy 62.304573 76.190939) (xy 62.262701 76.246872) + (xy 62.197237 76.271289) (xy 62.128964 76.256437) (xy 62.079559 76.207032) (xy 62.064566 76.141025) + (xy 62.066499 76.10465) (xy 62.0665 76.104613) (xy 62.0665 75.587494) (xy 95.126556 75.587494) (xy 95.126556 75.587505) + (xy 95.14631 75.901504) (xy 95.146311 75.901511) (xy 95.146312 75.901515) (xy 95.204592 76.207032) + (xy 95.20527 76.210583) (xy 95.302497 76.509816) (xy 95.302499 76.509821) (xy 95.436461 76.794503) + (xy 95.436464 76.794509) (xy 95.605051 77.060161) (xy 95.605054 77.060165) (xy 95.805606 77.30259) + (xy 95.805608 77.302592) (xy 95.80561 77.302594) (xy 95.894959 77.386498) (xy 96.034968 77.517976) + (xy 96.034978 77.517984) (xy 96.289504 77.702908) (xy 96.289509 77.70291) (xy 96.289516 77.702916) + (xy 96.565234 77.854494) (xy 96.565239 77.854496) (xy 96.565241 77.854497) (xy 96.565242 77.854498) + (xy 96.857771 77.970318) (xy 96.857774 77.970319) (xy 96.977277 78.001002) (xy 97.162527 78.048566) + (xy 97.224975 78.056455) (xy 97.47467 78.087999) (xy 97.474679 78.087999) (xy 97.474682 78.088) + (xy 97.474684 78.088) (xy 97.789316 78.088) (xy 97.789318 78.088) (xy 97.789321 78.087999) (xy 97.789329 78.087999) + (xy 97.975593 78.064468) (xy 98.101473 78.048566) (xy 98.406225 77.970319) (xy 98.445846 77.954632) + (xy 98.698757 77.854498) (xy 98.698758 77.854497) (xy 98.698756 77.854497) (xy 98.698766 77.854494) + (xy 98.974484 77.702916) (xy 99.22903 77.517978) (xy 99.45839 77.302594) (xy 99.658947 77.060163) + (xy 99.827537 76.794507) (xy 99.961503 76.509815) (xy 100.058731 76.210579) (xy 100.117688 75.901515) + (xy 100.117689 75.901504) (xy 100.137444 75.587505) (xy 100.137444 75.587494) (xy 100.117689 75.273495) + (xy 100.117688 75.273488) (xy 100.117688 75.273485) (xy 100.058731 74.964421) (xy 99.961503 74.665185) + (xy 99.827537 74.380493) (xy 99.692296 74.167386) (xy 99.658948 74.114838) (xy 99.658945 74.114834) + (xy 99.458393 73.872409) (xy 99.458391 73.872407) (xy 99.264848 73.690657) (xy 99.22903 73.657022) + (xy 99.229027 73.65702) (xy 99.229021 73.657015) (xy 98.974495 73.472091) (xy 98.974488 73.472086) + (xy 98.974484 73.472084) (xy 98.698766 73.320506) (xy 98.698763 73.320504) (xy 98.698758 73.320502) + (xy 98.698757 73.320501) (xy 98.406228 73.204681) (xy 98.406225 73.20468) (xy 98.101476 73.126434) + (xy 98.101463 73.126432) (xy 97.789329 73.087) (xy 97.789318 73.087) (xy 97.474682 73.087) (xy 97.47467 73.087) + (xy 97.162536 73.126432) (xy 97.162523 73.126434) (xy 96.857774 73.20468) (xy 96.857771 73.204681) + (xy 96.565242 73.320501) (xy 96.565241 73.320502) (xy 96.289516 73.472084) (xy 96.289504 73.472091) + (xy 96.034978 73.657015) (xy 96.034968 73.657023) (xy 95.805608 73.872407) (xy 95.805606 73.872409) + (xy 95.605054 74.114834) (xy 95.605051 74.114838) (xy 95.436464 74.38049) (xy 95.436461 74.380496) + (xy 95.302499 74.665178) (xy 95.302497 74.665183) (xy 95.20527 74.964416) (xy 95.146311 75.273488) + (xy 95.14631 75.273495) (xy 95.126556 75.587494) (xy 62.0665 75.587494) (xy 62.0665 74.167386) (xy 62.066498 74.167347) + (xy 62.063705 74.114759) (xy 62.019245 73.884874) (xy 61.936574 73.66581) (xy 61.81807 73.463868) + (xy 61.818065 73.463861) (xy 61.667143 73.284858) (xy 61.667141 73.284856) (xy 61.488138 73.133934) + (xy 61.488131 73.133929) (xy 61.286189 73.015425) (xy 61.195832 72.981326) (xy 61.067126 72.932755) + (xy 61.067121 72.932754) (xy 60.837243 72.888295) (xy 60.784652 72.885501) (xy 60.784629 72.8855) + (xy 60.784622 72.8855) (xy 58.847378 72.8855) (xy 58.84737 72.8855) (xy 58.847347 72.885501) (xy 58.794756 72.888295) + (xy 58.794755 72.888295) (xy 58.564878 72.932754) (xy 58.564876 72.932754) (xy 58.564874 72.932755) + (xy 58.518587 72.950223) (xy 58.34581 73.015425) (xy 58.143868 73.133929) (xy 58.143861 73.133934) + (xy 58.040429 73.221141) (xy 57.976487 73.249305) (xy 57.907469 73.238428) (xy 57.855288 73.191964) + (xy 57.8365 73.12634) (xy 57.8365 71.321777) (xy 62.2655 71.321777) (xy 62.2655 72.950208) (xy 62.265501 72.950223) + (xy 62.275904 73.082413) (xy 62.275905 73.08242) (xy 62.330902 73.300678) (xy 62.330903 73.300681) + (xy 62.423991 73.505622) (xy 62.423997 73.505632) (xy 62.552174 73.690645) (xy 62.552178 73.69065) + (xy 62.552181 73.690654) (xy 62.711346 73.849819) (xy 62.71135 73.849822) (xy 62.711354 73.849825) + (xy 62.850603 73.946297) (xy 62.896374 73.978007) (xy 63.101317 74.071096) (xy 63.101321 74.071097) + (xy 63.319579 74.126094) (xy 63.319581 74.126094) (xy 63.319588 74.126096) (xy 63.451783 74.1365) + (xy 65.580216 74.136499) (xy 65.712412 74.126096) (xy 65.930683 74.071096) (xy 66.135626 73.978007) + (xy 66.320654 73.849819) (xy 66.479819 73.690654) (xy 66.608007 73.505626) (xy 66.701096 73.300683) + (xy 66.756096 73.082412) (xy 66.7665 72.950217) (xy 66.766499 71.321784) (xy 66.756096 71.189588) + (xy 66.701096 70.971317) (xy 66.608007 70.766374) (xy 66.479819 70.581346) (xy 66.320654 70.422181) + (xy 66.32065 70.422178) (xy 66.320645 70.422174) (xy 66.135632 70.293997) (xy 66.13563 70.293995) + (xy 66.135626 70.293993) (xy 65.930683 70.200904) (xy 65.930681 70.200903) (xy 65.930678 70.200902) + (xy 65.71242 70.145905) (xy 65.712413 70.145904) (xy 65.668347 70.142436) (xy 65.580217 70.1355) + (xy 65.580215 70.1355) (xy 63.451791 70.1355) (xy 63.451776 70.135501) (xy 63.319586 70.145904) + (xy 63.319579 70.145905) (xy 63.101321 70.200902) (xy 63.101318 70.200903) (xy 62.896377 70.293991) + (xy 62.896367 70.293997) (xy 62.711354 70.422174) (xy 62.711342 70.422184) (xy 62.552184 70.581342) + (xy 62.552174 70.581354) (xy 62.423997 70.766367) (xy 62.423991 70.766377) (xy 62.330903 70.971318) + (xy 62.330902 70.971321) (xy 62.275905 71.189579) (xy 62.275904 71.189586) (xy 62.2655 71.321777) + (xy 57.8365 71.321777) (xy 57.8365 64.6325) (xy 57.856185 64.565461) (xy 57.908989 64.519706) (xy 57.9605 64.5085) + (xy 111.7115 64.5085) + ) + ) + ) +) diff --git a/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pro b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pro new file mode 100644 index 000000000..5fad9a596 --- /dev/null +++ b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_pro @@ -0,0 +1,582 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.1, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.1, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.5 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.5, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.8, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.1, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [], + "zones_allow_external_fillets": false + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "arduino_v1.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "./GERBER", + "pos_files": "DOM/", + "specctra_dsn": "", + "step": "", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "Grouped By Value", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "b50b7768-9da7-41e1-bada-e5ae1f2ba9b1", + "Root" + ] + ], + "text_variables": {} +} diff --git a/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_sch b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_sch new file mode 100644 index 000000000..917093afa --- /dev/null +++ b/projects/Arduino_v1/arduino_v1/src/arduino_v1.kicad_sch @@ -0,0 +1,9088 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "b50b7768-9da7-41e1-bada-e5ae1f2ba9b1") + (paper "A4") + (lib_symbols + (symbol "Connector:Barrel_Jack_Switch" + (pin_names hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 5.334 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Barrel_Jack_Switch" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 1.27 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 1.27 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "DC Barrel Jack with an internal switch" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "DC power barrel jack connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "BarrelJack*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Barrel_Jack_Switch_0_1" + (rectangle + (start -5.08 3.81) + (end 5.08 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (arc + (start -3.302 3.175) + (mid -3.9343 2.54) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -3.302 3.175) + (mid -3.9343 2.54) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy 1.27 -2.286) (xy 1.905 -1.651) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 5.08 2.54) (xy 3.81 2.54) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 5.08 0) (xy 1.27 0) (xy 1.27 -2.286) (xy 0.635 -1.651) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.81 -2.54) (xy -2.54 -2.54) (xy -1.27 -1.27) (xy 0 -2.54) (xy 2.54 -2.54) (xy 5.08 -2.54) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 3.683 3.175) + (end -3.302 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + ) + (symbol "Barrel_Jack_Switch_1_1" + (pin passive line + (at 7.62 2.54 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -2.54 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 0 180) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:Conn_01x03_Pin" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x03_Pin" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x03, script generated" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x03_Pin_1_1" + (polyline + (pts + (xy 1.27 -2.54) (xy 0.8636 -2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy 0.8636 0) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 2.54) (xy 0.8636 2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.8636 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:Conn_01x06_Pin" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 7.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x06_Pin" + (at 0 -10.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x06, script generated" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x06_Pin_1_1" + (polyline + (pts + (xy 1.27 -7.62) (xy 0.8636 -7.62) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -5.08) (xy 0.8636 -5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -2.54) (xy 0.8636 -2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy 0.8636 0) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 2.54) (xy 0.8636 2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 5.08) (xy 0.8636 5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.8636 -7.493) + (end 0 -7.747) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -4.953) + (end 0 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 5.207) + (end 0 4.953) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (pin passive line + (at 5.08 5.08 180) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -5.08 180) + (length 3.81) + (name "Pin_5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -7.62 180) + (length 3.81) + (name "Pin_6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:Conn_01x07_Pin" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x07_Pin" + (at 0 -10.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x07, script generated" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x07_Pin_1_1" + (polyline + (pts + (xy 1.27 -7.62) (xy 0.8636 -7.62) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -5.08) (xy 0.8636 -5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -2.54) (xy 0.8636 -2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy 0.8636 0) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 2.54) (xy 0.8636 2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 5.08) (xy 0.8636 5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 7.62) (xy 0.8636 7.62) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.8636 -7.493) + (end 0 -7.747) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -4.953) + (end 0 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 5.207) + (end 0 4.953) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 7.747) + (end 0 7.493) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (pin passive line + (at 5.08 7.62 180) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 5.08 180) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 3.81) + (name "Pin_5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -5.08 180) + (length 3.81) + (name "Pin_6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -7.62 180) + (length 3.81) + (name "Pin_7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:Conn_01x08_Pin" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 10.16 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x08_Pin" + (at 0 -12.7 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x08, script generated" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_locked" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x08_Pin_1_1" + (polyline + (pts + (xy 1.27 -10.16) (xy 0.8636 -10.16) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -7.62) (xy 0.8636 -7.62) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -5.08) (xy 0.8636 -5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -2.54) (xy 0.8636 -2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy 0.8636 0) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 2.54) (xy 0.8636 2.54) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 5.08) (xy 0.8636 5.08) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 7.62) (xy 0.8636 7.62) + ) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.8636 -10.033) + (end 0 -10.287) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -7.493) + (end 0 -7.747) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -4.953) + (end 0 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 5.207) + (end 0 4.953) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 0.8636 7.747) + (end 0 7.493) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type outline) + ) + ) + (pin passive line + (at 5.08 7.62 180) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 5.08 180) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 3.81) + (name "Pin_5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -5.08 180) + (length 3.81) + (name "Pin_6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -7.62 180) + (length 3.81) + (name "Pin_7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -10.16 180) + (length 3.81) + (name "Pin_8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:USB_B" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at -5.08 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "USB_B" + (at -5.08 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" " ~" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Type B connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector USB" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "USB*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "USB_B_0_1" + (rectangle + (start -5.08 -7.62) + (end 5.08 7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (circle + (center -3.81 2.159) + (radius 0.635) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start -3.81 5.588) + (end -2.54 4.572) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (circle + (center -0.635 3.429) + (radius 0.381) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start -0.127 -7.62) + (end 0.127 -6.858) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 2.159) (xy 0.635 2.159) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 2.159) (xy -2.54 2.159) (xy -1.27 3.429) (xy -0.635 3.429) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 2.159) (xy -1.905 2.159) (xy -1.27 0.889) (xy 0 0.889) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 2.794) (xy 0.635 1.524) (xy 1.905 2.159) (xy 0.635 2.794) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.064 4.318) (xy -2.286 4.318) (xy -2.286 5.715) (xy -2.667 6.096) (xy -3.683 6.096) (xy -4.064 5.715) + (xy -4.064 4.318) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.254 1.27) + (end -0.508 0.508) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 5.08 -2.667) + (end 4.318 -2.413) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -0.127) + (end 4.318 0.127) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 4.953) + (end 4.318 5.207) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "USB_B_1_1" + (pin power_out line + (at 7.62 5.08 180) + (length 2.54) + (name "VBUS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -2.54 180) + (length 2.54) + (name "D-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 0 180) + (length 2.54) + (name "D+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -10.16 90) + (length 2.54) + (name "Shield" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C" + (pin_numbers hide) + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.635 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C" + (at 0.635 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0.9652 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "cap capacitor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) (xy 2.032 -0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.032 0.762) (xy 2.032 0.762) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_1_1" + (pin passive line + (at 0 3.81 270) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 2.794) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:Crystal" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "Y" + (at 0 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Crystal" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Two pin crystal" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Crystal*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Crystal_0_1" + (rectangle + (start -1.143 2.54) + (end 1.143 -2.54) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 0) (xy -1.905 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.905 -1.27) (xy 1.905 1.27) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 0) (xy 1.905 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Crystal_1_1" + (pin passive line + (at -3.81 0 0) + (length 1.27) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 1.27) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:D" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "D" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Device" "D" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "D_0_1" + (polyline + (pts + (xy -1.27 1.27) (xy -1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 1.27) (xy 1.27 -1.27) (xy -1.27 0) (xy 1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "D_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:LED" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LED" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "LED diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) (xy -1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 0) (xy 1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -1.27) (xy 1.27 1.27) (xy -1.27 0) (xy 1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.048 -0.762) (xy -4.572 -2.286) (xy -3.81 -2.286) (xy -4.572 -2.286) (xy -4.572 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.778 -0.762) (xy -3.302 -2.286) (xy -2.54 -2.286) (xy -3.302 -2.286) (xy -3.302 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "LED_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R" + (pin_numbers hide) + (pin_names + (offset 0) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 2.032 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "R" + (at 0 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at -1.778 0 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "R res resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_0_1" + (rectangle + (start -1.016 -2.54) + (end 1.016 2.54) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Interface_USB:CH340G" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -5.08 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "CH340G" + (at 1.27 13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" + (at 1.27 -13.97 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" + (at -8.89 20.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB serial converter, UART, SOIC-16" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "USB UART Serial Converter Interface" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOIC*3.9x9.9mm*P1.27mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "CH340G_0_1" + (rectangle + (start -7.62 12.7) + (end 7.62 -12.7) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "CH340G_1_1" + (pin power_in line + (at 0 -15.24 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 0 180) + (length 2.54) + (name "~{DSR}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 -2.54 180) + (length 2.54) + (name "~{RI}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 -5.08 180) + (length 2.54) + (name "~{DCD}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -7.62 180) + (length 2.54) + (name "~{DTR}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 -10.16 180) + (length 2.54) + (name "~{RTS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 7.62 0) + (length 2.54) + (name "R232" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 15.24 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 10.16 10.16 180) + (length 2.54) + (name "TXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 7.62 180) + (length 2.54) + (name "RXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 0 15.24 270) + (length 2.54) + (name "V3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 2.54 0) + (length 2.54) + (name "UD+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 0 0) + (length 2.54) + (name "UD-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -5.08 0) + (length 2.54) + (name "XI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -10.16 -10.16 0) + (length 2.54) + (name "XO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 10.16 2.54 180) + (length 2.54) + (name "~{CTS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "MCU_Microchip_ATmega:ATmega328-P" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -12.7 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + ) + (property "Value" "ATmega328-P" + (at 2.54 -36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left top) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W7.62mm" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, DIP-28" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "AVR 8bit Microcontroller MegaAVR" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ATmega328-P_0_1" + (rectangle + (start -12.7 -35.56) + (end 12.7 35.56) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "ATmega328-P_1_1" + (pin bidirectional line + (at 15.24 -7.62 180) + (length 2.54) + (name "~{RESET}/PC6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 12.7 180) + (length 2.54) + (name "XTAL2/PB7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -25.4 180) + (length 2.54) + (name "PD5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -27.94 180) + (length 2.54) + (name "PD6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -30.48 180) + (length 2.54) + (name "PD7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 30.48 180) + (length 2.54) + (name "PB0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 27.94 180) + (length 2.54) + (name "PB1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 25.4 180) + (length 2.54) + (name "PB2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 22.86 180) + (length 2.54) + (name "PB3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 20.32 180) + (length 2.54) + (name "PB4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 17.78 180) + (length 2.54) + (name "PB5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -12.7 180) + (length 2.54) + (name "PD0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 2.54 38.1 270) + (length 2.54) + (name "AVCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -15.24 30.48 0) + (length 2.54) + (name "AREF" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -38.1 90) + (length 2.54) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 7.62 180) + (length 2.54) + (name "PC0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 5.08 180) + (length 2.54) + (name "PC1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 2.54 180) + (length 2.54) + (name "PC2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 0 180) + (length 2.54) + (name "PC3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -2.54 180) + (length 2.54) + (name "PC4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -5.08 180) + (length 2.54) + (name "PC5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -15.24 180) + (length 2.54) + (name "PD1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -17.78 180) + (length 2.54) + (name "PD2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -20.32 180) + (length 2.54) + (name "PD3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 -22.86 180) + (length 2.54) + (name "PD4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 38.1 270) + (length 2.54) + (name "VCC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -38.1 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 15.24 15.24 180) + (length 2.54) + (name "XTAL1/PB6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:AMS1117-3.3" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -3.81 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 0 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 2.54 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "AMS1117-3.3_0_1" + (rectangle + (start -5.08 -5.08) + (end 5.08 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "AMS1117-3.3_1_1" + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 0 180) + (length 2.54) + (name "VO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -7.62 0 0) + (length 2.54) + (name "VI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:LM7805_TO220" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -3.81 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LM7805_TO220" + (at 0 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" + (at 0 5.715 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.onsemi.cn/PowerSolutions/document/MC7800-D.PDF" + (at 0 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Positive 1A 35V Linear Regulator, Fixed Output 5V, TO-220" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "Voltage Regulator 1A Positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO?220*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LM7805_TO220_0_1" + (rectangle + (start -5.08 1.905) + (end 5.08 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "LM7805_TO220_1_1" + (pin power_in line + (at -7.62 0 0) + (length 2.54) + (name "VI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 0 180) + (length 2.54) + (name "VO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 63.5 25.4) + (diameter 0) + (color 0 0 0 0) + (uuid "1661b559-f38d-43e6-bd3a-b23f78fa0bd9") + ) + (junction + (at 26.67 88.9) + (diameter 0) + (color 0 0 0 0) + (uuid "23c46afa-71da-4775-90ff-81e6946da15a") + ) + (junction + (at 170.18 86.36) + (diameter 0) + (color 0 0 0 0) + (uuid "2938f3dd-d40c-4b07-99fd-d5c027e26da9") + ) + (junction + (at 19.05 88.9) + (diameter 0) + (color 0 0 0 0) + (uuid "3881fab0-ba80-4a34-8de7-902ddb59bf47") + ) + (junction + (at 170.18 93.98) + (diameter 0) + (color 0 0 0 0) + (uuid "43a28b02-e28e-4dfc-b018-f9ccb5d54fd7") + ) + (junction + (at 86.36 44.45) + (diameter 0) + (color 0 0 0 0) + (uuid "768796f7-611c-4f5f-958d-faf7b5a6ade6") + ) + (junction + (at 63.5 33.02) + (diameter 0) + (color 0 0 0 0) + (uuid "81fc096b-da81-4bd6-8188-f9281c899c35") + ) + (junction + (at 93.98 52.07) + (diameter 0) + (color 0 0 0 0) + (uuid "9bc70656-1c57-446e-ad8d-f318b4e040d5") + ) + (junction + (at 39.37 25.4) + (diameter 0) + (color 0 0 0 0) + (uuid "c6b0308d-2485-4a5d-a084-38db8196dc0e") + ) + (junction + (at 55.88 33.02) + (diameter 0) + (color 0 0 0 0) + (uuid "fe68aaae-0867-4ec5-814b-13436dba0e9c") + ) + (no_connect + (at 59.69 74.93) + (uuid "5654834f-5f79-4b5f-8371-8671ddca9ca5") + ) + (no_connect + (at 59.69 67.31) + (uuid "8565d2d2-d7c5-4abd-b9ba-b9621f31c0f2") + ) + (no_connect + (at 59.69 72.39) + (uuid "8f55fb49-e9ba-4aa2-b5ee-7b0895d1d916") + ) + (no_connect + (at 39.37 62.23) + (uuid "a32fdc59-f90b-483b-81ac-a5844492dfc4") + ) + (no_connect + (at 59.69 80.01) + (uuid "c3d09fea-b8cd-40f6-ab50-f5fe6bfab56b") + ) + (no_connect + (at 59.69 69.85) + (uuid "d1ae50d7-f69d-4faa-a027-57a4aaa9c75a") + ) + (wire + (pts + (xy 29.21 27.94) (xy 29.21 30.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0131e86b-3ae4-4eb7-aa28-f72e6aa30923") + ) + (wire + (pts + (xy 160.02 69.85) (xy 156.21 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "024947f8-9295-4d08-be99-5a013d09ec9c") + ) + (wire + (pts + (xy 68.58 77.47) (xy 59.69 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0de0412a-61f7-422d-b8d3-f30afd7dc2c9") + ) + (wire + (pts + (xy 30.48 187.96) (xy 27.94 187.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "11d268a5-0c12-4581-844d-62b1cb1a1dec") + ) + (wire + (pts + (xy 165.1 86.36) (xy 165.1 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "125781cb-ff24-4747-b0f9-cb4fd38e273e") + ) + (wire + (pts + (xy 93.98 52.07) (xy 101.6 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1845edf7-b30d-4b54-9a19-5dff9017e9d3") + ) + (wire + (pts + (xy 30.48 185.42) (xy 27.94 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1b1bc9c9-c7f3-495e-98b5-46d353b35ce9") + ) + (wire + (pts + (xy 156.21 77.47) (xy 163.83 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1b36456b-1cfc-4a60-bac3-13446f09f455") + ) + (wire + (pts + (xy 49.53 90.17) (xy 49.53 85.09) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ca72e0a-b540-4663-b38b-3a1493ec473a") + ) + (wire + (pts + (xy 27.94 124.46) (xy 36.83 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1d622f2c-399a-4eb2-b0ed-9608c98c14b7") + ) + (wire + (pts + (xy 39.37 25.4) (xy 48.26 25.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1e53a9f5-28a6-469d-9b1a-2c20a8f5c54e") + ) + (wire + (pts + (xy 160.02 64.77) (xy 156.21 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "21225975-a6c6-4f0d-9e85-86c442ebbe76") + ) + (wire + (pts + (xy 160.02 67.31) (xy 156.21 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2365085e-5686-4909-b699-0d3b565a9090") + ) + (wire + (pts + (xy 140.97 52.07) (xy 140.97 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2e01cf56-8088-4815-b7e5-466c1e91a25e") + ) + (wire + (pts + (xy 27.94 137.16) (xy 33.02 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3507633a-6c79-47d7-add4-53ab240ad75b") + ) + (wire + (pts + (xy 163.83 93.98) (xy 170.18 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "39114004-394e-464f-ab01-ee2dbe0808c1") + ) + (wire + (pts + (xy 35.56 63.5) (xy 26.67 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3bc0b8c6-1f97-4083-9498-cbd797608aaa") + ) + (wire + (pts + (xy 26.67 88.9) (xy 26.67 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3d49b1c3-f6a4-45fd-acba-8fd5d6e855c1") + ) + (wire + (pts + (xy 143.51 46.99) (xy 143.51 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3eb70a4a-d907-40df-a918-2b989b13d7d1") + ) + (wire + (pts + (xy 39.37 33.02) (xy 55.88 33.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e0b7c63-98f5-4d2c-b47b-e60d3b43e6d3") + ) + (wire + (pts + (xy 30.48 177.8) (xy 27.94 177.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5134f409-e408-429b-9c60-8d503e7090bc") + ) + (wire + (pts + (xy 181.61 86.36) (xy 170.18 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53e5a7be-3435-4816-b61c-e86673b75db2") + ) + (wire + (pts + (xy 163.83 77.47) (xy 163.83 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "578a2bde-9d9f-42a4-afb0-65fcc40932f7") + ) + (wire + (pts + (xy 26.67 60.96) (xy 36.83 60.96) + ) + (stroke + (width 0) + (type default) + ) + (uuid "584e99c9-a9c4-4dce-9321-0f339fd42ea5") + ) + (wire + (pts + (xy 30.48 182.88) (xy 27.94 182.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5fbcff2a-066a-4bad-aca2-0588ec45a3e3") + ) + (wire + (pts + (xy 140.97 46.99) (xy 143.51 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "60e55990-fcc3-4991-ab7a-08c8c693c765") + ) + (wire + (pts + (xy 160.02 72.39) (xy 156.21 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6789d36d-9976-42da-81b3-653e076080d7") + ) + (wire + (pts + (xy 160.02 59.69) (xy 156.21 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "67edbcf9-8c50-4b5b-8eb6-8d532dd78707") + ) + (wire + (pts + (xy 156.21 107.95) (xy 162.56 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6930419a-fd5f-4dce-9aaf-1f82fda65230") + ) + (wire + (pts + (xy 27.94 132.08) (xy 33.02 132.08) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6996a84a-362b-469e-873b-f8cf7aca1182") + ) + (wire + (pts + (xy 36.83 25.4) (xy 39.37 25.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6bd98bee-0a85-43f4-a8a1-d0782ef71954") + ) + (wire + (pts + (xy 36.83 124.46) (xy 36.83 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7221aee2-e864-4afd-97d9-458a2c656cef") + ) + (wire + (pts + (xy 181.61 93.98) (xy 170.18 93.98) + ) + (stroke + (width 0) + (type default) + ) + (uuid "72d3366e-7573-4472-a285-52472f84d9bd") + ) + (wire + (pts + (xy 181.61 78.74) (xy 190.5 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "72d4831d-6e44-4538-a5fb-64c713e46f67") + ) + (wire + (pts + (xy 68.58 53.34) (xy 68.58 77.47) + ) + (stroke + (width 0) + (type default) + ) + (uuid "75f6aaa5-be01-40cf-9f95-85ecad4c3ffd") + ) + (wire + (pts + (xy 63.5 33.02) (xy 76.2 33.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "792fe228-7d12-4fb1-a027-68349994782a") + ) + (wire + (pts + (xy 81.28 44.45) (xy 86.36 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7cf8b4b7-0ad3-4dce-aad5-4e423aea19d1") + ) + (wire + (pts + (xy 86.36 52.07) (xy 93.98 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7d7861e2-268d-4dbd-88d3-1673503e6ee0") + ) + (wire + (pts + (xy 35.56 69.85) (xy 35.56 63.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7ec02edf-ea05-425b-8f3c-d1277a0e388c") + ) + (wire + (pts + (xy 156.21 115.57) (xy 162.56 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7fd5f298-7c91-46be-8071-d861e5b30e28") + ) + (wire + (pts + (xy 27.94 119.38) (xy 36.83 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "86287ad4-b8e6-4307-bb3b-7a07a7c628cf") + ) + (wire + (pts + (xy 50.8 90.17) (xy 49.53 90.17) + ) + (stroke + (width 0) + (type default) + ) + (uuid "86f5ea6a-02e5-46db-a966-6ff961087a22") + ) + (wire + (pts + (xy 19.05 80.01) (xy 19.05 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "875342d1-bb31-41ee-ad4b-966c515e642a") + ) + (wire + (pts + (xy 165.1 74.93) (xy 156.21 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8a2f54f7-9b2d-46bc-8f05-50daca5e7c52") + ) + (wire + (pts + (xy 36.83 121.92) (xy 27.94 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8a59ffdb-74f3-49ba-aaa8-b381e70c5b79") + ) + (wire + (pts + (xy 27.94 144.78) (xy 33.02 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9401469e-3fed-4ab4-9750-c0d0d2a230ad") + ) + (wire + (pts + (xy 36.83 119.38) (xy 36.83 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "95ea82fe-f781-4dc7-9949-46e82c990fc5") + ) + (wire + (pts + (xy 170.18 86.36) (xy 165.1 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9f07b2a4-432b-4e09-a29f-c396e1c03bc2") + ) + (wire + (pts + (xy 30.48 180.34) (xy 27.94 180.34) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a116d9cc-9470-4636-bc9b-2d667644c5d8") + ) + (wire + (pts + (xy 160.02 62.23) (xy 156.21 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a328828c-9dd7-4020-811f-286d15d53fd8") + ) + (wire + (pts + (xy 27.94 142.24) (xy 33.02 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a7611d5e-8054-4428-9144-110ed35cf2ba") + ) + (wire + (pts + (xy 156.21 110.49) (xy 162.56 110.49) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a90f980a-6c52-4a4a-b9dd-9f0c332c333a") + ) + (wire + (pts + (xy 39.37 69.85) (xy 35.56 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ab01db46-4919-4f24-91ac-89e23e82a16d") + ) + (wire + (pts + (xy 24.13 80.01) (xy 19.05 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "acfd9b72-b825-4e92-a99b-223916bbbe4a") + ) + (wire + (pts + (xy 156.21 102.87) (xy 162.56 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ae7da747-102b-459b-be1d-edab587d29e7") + ) + (wire + (pts + (xy 156.21 118.11) (xy 162.56 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4d65b18-9f0f-4ad7-8379-4783228d1f0e") + ) + (wire + (pts + (xy 27.94 134.62) (xy 33.02 134.62) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c01125b0-704a-4381-a4fb-fb36f79430d6") + ) + (wire + (pts + (xy 27.94 139.7) (xy 33.02 139.7) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c327b5b5-9827-4c03-8bf3-79f57a2f4bb7") + ) + (wire + (pts + (xy 156.21 120.65) (xy 162.56 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c70100b4-2d54-41fb-83a3-fd6587dc38f7") + ) + (wire + (pts + (xy 27.94 147.32) (xy 33.02 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c8e86447-e852-4838-a808-0026bd34e98a") + ) + (wire + (pts + (xy 55.88 33.02) (xy 63.5 33.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d1d7b933-4934-480f-94cf-4a87d9e5f00c") + ) + (wire + (pts + (xy 36.83 60.96) (xy 36.83 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d629c3fd-5329-4b18-8523-e4063b521b0b") + ) + (wire + (pts + (xy 27.94 149.86) (xy 33.02 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "db99981e-4416-4908-9754-c314acddc9c9") + ) + (wire + (pts + (xy 24.13 74.93) (xy 24.13 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e623a4ae-4dec-4334-888e-27606bedd598") + ) + (wire + (pts + (xy 63.5 25.4) (xy 76.2 25.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e709bc3d-c1f6-4a91-a55a-f1f17217e7d4") + ) + (wire + (pts + (xy 181.61 101.6) (xy 191.77 101.6) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e7d4c535-d86b-43a3-a089-1f5ced5f1a1e") + ) + (wire + (pts + (xy 156.21 113.03) (xy 162.56 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e7d81fb5-969f-4023-a05e-a928576391e1") + ) + (wire + (pts + (xy 36.83 67.31) (xy 39.37 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e81e594c-3293-4935-8f11-9fccfc7131f0") + ) + (wire + (pts + (xy 30.48 190.5) (xy 27.94 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e8d41198-f500-43c6-a2be-42bb6f093b2c") + ) + (wire + (pts + (xy 39.37 74.93) (xy 24.13 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ec0a99e3-1734-4770-a6e0-c244f9cf8457") + ) + (wire + (pts + (xy 16.51 71.12) (xy 19.05 71.12) + ) + (stroke + (width 0) + (type default) + ) + (uuid "edc23acd-aee5-4436-b90f-8ee58730cede") + ) + (wire + (pts + (xy 156.21 105.41) (xy 162.56 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ee56a122-96bc-4824-8eef-a29fb943d7f0") + ) + (wire + (pts + (xy 26.67 80.01) (xy 39.37 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f0d8b99b-00e7-41a6-9a05-cd5f98e5a2bb") + ) + (global_label "D10" + (shape input) + (at 27.94 161.29 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "01bb5c32-c8cd-416e-b1ea-6e0554fab9f1") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 34.6142 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 19.05 96.52 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "02f1176c-3374-47b4-8407-c98073d1a79b") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 19.05 103.3757 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "3.3V" + (shape input) + (at 101.6 44.45 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "058e2687-b1f2-420f-804d-7d0358f9aac2") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 108.6976 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D7" + (shape input) + (at 162.56 120.65 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "071089c3-920d-4ce0-8744-0937165603b9") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D2" + (shape input) + (at 162.56 107.95 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "08dd421b-14e6-443e-ae0d-0a55f6032423") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 107.95 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D6" + (shape input) + (at 162.56 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "094c267d-2b05-403a-9399-9671a46ff16c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D5" + (shape input) + (at 33.02 144.78 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0ad8dbfd-7d0f-4eb9-94b2-90457d28f1dc") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A5" + (shape input) + (at 156.21 95.25 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0b86a482-744b-4341-84b3-263173e72dd2") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "5VU" + (shape input) + (at 26.67 55.88 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "0fc439b2-9d4a-481a-932f-c431a752c5b0") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 33.2838 55.88 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 50.8 90.17 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1410dae4-cbc4-4a65-907d-61cf5fdb9d87") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 57.6557 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "TXD" + (shape input) + (at 33.02 134.62 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "18bd417a-3fe8-487c-b259-700c958ddab7") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 39.4523 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RXD" + (shape input) + (at 33.02 132.08 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1a68fe4f-2246-45d9-a7dc-ad66e77c665f") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 39.7547 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A0" + (shape input) + (at 30.48 177.8 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1c0e185e-f34a-415a-b589-7ec0792316de") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VIN" + (shape input) + (at 44.45 25.4 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1e2b389f-d702-41a1-8a23-4c7809a77075") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 44.45 19.3909 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D3" + (shape input) + (at 162.56 110.49 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1fac6a28-0585-4024-a8ce-d69d7419921b") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "TXD" + (shape input) + (at 59.69 62.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "25042526-12a3-4ee9-90b6-4a4d79710a29") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 66.1223 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D8" + (shape input) + (at 160.02 59.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "2617f204-a7a0-474c-8106-d84858d86c88") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 165.4847 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A4" + (shape input) + (at 30.48 187.96 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "27e8897f-8c35-4043-8b22-05504a592d7e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 187.96 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D13" + (shape input) + (at 160.02 72.39 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "2ea70db0-3ba7-403c-84cf-9c8c43d6dedd") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 166.6942 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RST" + (shape input) + (at 68.58 45.72 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "33ed24d8-f6e8-4b6c-b57c-8b60d164d2ef") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 75.0123 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D9" + (shape input) + (at 160.02 62.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "3792883d-09db-4459-adeb-b62330a95c23") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 165.4847 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A4" + (shape input) + (at 156.21 92.71 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "38e4a4ed-2438-4bf6-870a-fd95fd0dd379") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "5V" + (shape input) + (at 36.83 125.73 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "3b7e2a02-6b86-4c28-b425-b8144344b72c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 42.1133 125.73 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D12" + (shape input) + (at 27.94 166.37 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "3cd4c777-f1f9-45c6-94c6-76b2d7d7aa82") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 34.6142 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "TXD" + (shape input) + (at 107.95 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "3f325822-b212-4c55-9c6c-9d27e22cc397") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 114.3823 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D6" + (shape input) + (at 33.02 147.32 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "4077155d-9273-4fd2-83a3-882dc4d8d123") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 29.21 30.48 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "421a6ce4-bc75-4acd-90a9-faa3b99b8aaf") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 36.0657 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D9" + (shape input) + (at 27.94 158.75 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "4701c666-c8bd-4957-94f2-f5a082d01716") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 33.4047 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D4" + (shape input) + (at 33.02 142.24 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "4b9e03bc-99d0-4c85-a7fd-97e2fd58ff67") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A3" + (shape input) + (at 30.48 185.42 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "559da81f-b942-4f12-89dc-1c66ae52e4c2") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D11" + (shape input) + (at 27.94 163.83 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "560f796c-f05a-40b2-b1d3-ba7446b4d2fb") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 34.6142 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A1" + (shape input) + (at 30.48 180.34 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "562e5d7e-30a7-49f8-8f20-0d31f68b3fb7") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D13" + (shape input) + (at 27.94 168.91 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "575edaf8-0cbb-4c5e-a0ed-8e392e22120f") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 34.6142 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 96.52 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "577532e4-16f2-4e8d-be28-910710ae3673") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 103.3757 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 46.99 54.61 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "5864a22d-0cac-47cf-9c13-0281c6b138c5") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 46.99 47.9962 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 63.5 176.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "5c0cb8b5-45ad-47d2-8293-400daf628d6e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 70.3557 176.53 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D8" + (shape input) + (at 27.94 156.21 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "671ffe25-00a4-4216-9bfe-3cf37b5f69f8") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 33.4047 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RST" + (shape input) + (at 156.21 97.79 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "6882d229-2379-4daf-b13e-7ec764d4b48e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 162.6423 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A3" + (shape input) + (at 156.21 90.17 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "6b99fe9c-a6fc-4232-a82e-743641b5aa9d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 55.88 33.02 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "6c0d19ac-b778-4c49-86e9-cf090b7b4079") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 55.88 39.8757 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "RXD" + (shape input) + (at 118.11 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "6f6eb257-6d40-49e2-bf1a-f2f56a8082e3") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 124.8447 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D5" + (shape input) + (at 162.56 115.57 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "6f71532e-19b3-4dce-957f-52df6a974f03") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D2" + (shape input) + (at 33.02 137.16 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "800f3b9b-513b-441b-bb1a-a0bd36724066") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 143.51 46.99 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "8114f091-f2b2-4105-8ec1-e9efa2a1f8b9") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 143.51 40.3762 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 125.73 59.69 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "891039ff-8f87-421e-a480-d250098f0e0e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 119.1162 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 81.28 44.45 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "8ca955b8-979e-45e6-8fbc-81ca48d6e70d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 74.6662 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "A2" + (shape input) + (at 30.48 182.88 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "977c3622-82cd-4f91-a36c-bc7abf2a756e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 96.52 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "9b2af140-c258-404f-a81a-526c3b78050c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 103.1338 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 19.05 71.12 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "a01a4115-953c-4467-940e-daf1896e102e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 19.05 77.9757 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D11" + (shape input) + (at 160.02 67.31 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a1c51b61-41b0-46a9-b1e5-8d5fbf5291a5") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 166.6942 67.31 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 83.82 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a32f5aad-dd8b-4dfb-ac16-04c7d9f8aee0") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 90.6757 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "3.3V" + (shape input) + (at 49.53 54.61 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a55d6b33-bc58-4e5f-bf31-45f179466268") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 49.53 47.5124 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A0" + (shape input) + (at 156.21 82.55 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a672cd77-0ee0-4925-b7eb-fda5e96fa0bc") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A2" + (shape input) + (at 156.21 87.63 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a868d842-e269-42c4-b670-c77daad54768") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 27.94 171.45 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a91ff98d-869e-4d67-8913-88c103a30653") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 34.7957 171.45 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D3" + (shape input) + (at 33.02 139.7 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "ad750255-dd48-4b26-8519-4ce4774c32b1") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RXD" + (shape input) + (at 59.69 59.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "aee5b8fa-c9a7-4191-ba84-f93c1427fe94") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 66.4247 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VIN" + (shape input) + (at 63.5 173.99 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "b0deae70-bbea-49c1-8da7-b2ce80883389") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 69.5091 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 63.5 189.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "b9fe4fb7-dcc8-426b-bbef-2bd84d1a05b2") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 70.1138 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 36.83 121.92 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c2e32881-ccf8-4e83-b521-30ff9eaeb88d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 43.4438 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 93.98 52.07 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "c3c50b33-a88e-42c7-91b3-521ef7196567") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 93.98 58.9257 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D10" + (shape input) + (at 160.02 64.77 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c40780c4-6f0c-4e48-9657-499b5f140712") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 166.6942 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 118.11 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c5064365-241c-4341-814d-92eb77a6b311") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 124.9657 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RST" + (shape input) + (at 63.5 186.69 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "cafce037-e6f6-4086-b82a-ee3bb6c27ddf") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 69.9323 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "5VU" + (shape input) + (at 36.83 118.11 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "cf458e74-1e84-48f6-8ea8-bcdfe865d46d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 43.4438 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A1" + (shape input) + (at 156.21 85.09 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "cf8d8900-0c8f-4e2f-a2e4-8a30fb791446") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 161.4933 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D12" + (shape input) + (at 160.02 69.85 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d1d0ed08-af79-47f2-a04d-811944ab299f") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 166.6942 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "5V" + (shape input) + (at 76.2 25.4 90) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d6428123-0259-4355-bd48-fafacdf55fdd") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 76.2 20.1167 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "TXD" + (shape input) + (at 162.56 105.41 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d7f66c7c-1d84-4c0b-8c1e-981a95c79ecc") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.9923 105.41 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "3.3V" + (shape input) + (at 63.5 184.15 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d8b3c612-2c8b-494d-9f56-e4683629904d") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 70.5976 184.15 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 140.97 128.27 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "e02d986d-7efb-4be9-8185-15283dae0c6b") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 140.97 135.1257 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 63.5 179.07 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "e1dfd54e-f699-4801-83b3-930836c0469b") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 70.3557 179.07 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 107.95 86.36 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "e7b440af-86af-4e78-af1e-cf065c1d5352") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 114.8057 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "VCC" + (shape input) + (at 63.5 181.61 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "e7b61aec-5aa3-4efe-ac2c-8da558aa2d4a") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 70.1138 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "GND" + (shape input) + (at 26.67 96.52 270) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "ec74bc22-9271-49b2-b64f-68a68f2fba9a") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 26.67 103.3757 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + (hide yes) + ) + ) + ) + (global_label "D4" + (shape input) + (at 162.56 113.03 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "ee6be3a9-429d-4bbb-8d35-dd6f2f78e87e") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 168.0247 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D7" + (shape input) + (at 33.02 149.86 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "f09b88a1-d58e-4592-afde-aaf7c32e3bff") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 38.4847 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "RXD" + (shape input) + (at 162.56 102.87 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "f85322cf-4796-438f-a263-4bfac07eba70") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 169.2947 102.87 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "A5" + (shape input) + (at 30.48 190.5 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "fd6db56d-9227-4c2f-b050-80f0f16e8280") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 35.7633 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (global_label "D13" + (shape input) + (at 83.82 101.6 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "ff7ec813-d41e-47b5-a58f-ea44adb8c78c") + (property "Intersheetrefs" "${INTERSHEET_REFS}" + (at 90.4942 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 76.2 29.21 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0bdcdede-2fd2-40e2-99eb-8b6001a3b37c") + (property "Reference" "C9" + (at 80.01 27.9399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 80.01 30.4799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 77.1652 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 76.2 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 76.2 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "e42120ed-2272-49a3-bbfe-68ac07a56461") + ) + (pin "1" + (uuid "84a9791b-7691-4ad3-8a13-93b4b4d0daa2") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Barrel_Jack_Switch") + (at 21.59 27.94 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "165d7be9-d170-4660-b4ed-b374db3378fc") + (property "Reference" "J6" + (at 21.59 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Barrel_Jack_Switch" + (at 21.59 21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_BarrelJack:BarrelJack_Horizontal" + (at 22.86 28.956 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 28.956 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "DC Barrel Jack with an internal switch" + (at 21.59 27.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "8e8508b2-e815-415a-84b6-987f62a5c0cb") + ) + (pin "1" + (uuid "696cd586-cb91-48d9-990d-19d1eb41c1c7") + ) + (pin "3" + (uuid "880472cf-3c13-4d83-a0d3-574bccb72608") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 83.82 90.17 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "1f009bc9-3142-4e8d-a6c8-f122f5c33ce4") + (property "Reference" "R1" + (at 86.36 88.8999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "330R" + (at 86.36 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 82.042 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 83.82 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 83.82 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8ffcedb6-a568-4dee-a61f-2cf49a50a47a") + ) + (pin "2" + (uuid "4986710b-4f7f-4044-8e7d-2967933bdeae") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Crystal") + (at 22.86 88.9 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "200d9cdd-d1ec-46c6-ba11-39873e7e9aee") + (property "Reference" "12mhz1" + (at 22.86 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Crystal" + (at 22.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Crystal:Crystal_HC49-4H_Vertical" + (at 22.86 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Two pin crystal" + (at 22.86 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "1072ff8e-4ad2-4196-8358-04706a47ee74") + ) + (pin "1" + (uuid "747dc795-160d-43aa-938f-4cf6bf7693f5") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "12mhz1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 190.5 78.74 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "232b6986-5279-4fe7-b815-1d96c54e70e1") + (property "Reference" "#PWR01" + (at 190.5 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 190.5 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 190.5 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 190.5 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 190.5 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6f74de7d-3b21-4bf7-8d76-cdc825e0475c") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x03_Pin") + (at 22.86 121.92 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "24a9d1e4-0e65-40e4-99c3-b221d56f9dbc") + (property "Reference" "J4" + (at 23.495 114.3 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x03_Pin" + (at 23.495 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector:FanPinHeader_1x03_P2.54mm_Vertical" + (at 22.86 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x03, script generated" + (at 22.86 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "90c98f58-845a-47d9-83dd-68cdf813e99a") + ) + (pin "2" + (uuid "1c800632-4447-4e03-9f02-f3cddeb3dbac") + ) + (pin "3" + (uuid "8fa5f5cd-e95a-420c-934c-1c74519e0122") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 19.05 92.71 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "34149e62-f50f-45fd-a885-e715ea0794fc") + (property "Reference" "C4" + (at 22.86 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "22pf" + (at 22.86 93.9799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 18.0848 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 19.05 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 19.05 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "f84709e3-6ec6-4065-bb9c-e962f9394a9b") + ) + (pin "1" + (uuid "0b1bf0bc-b287-466f-8672-3a08dd96ed81") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "MCU_Microchip_ATmega:ATmega328-P") + (at 140.97 90.17 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3c3ea045-f56f-4136-b5d2-e1fe4c503858") + (property "Reference" "U1" + (at 119.38 53.3714 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "ATmega328-P" + (at 119.38 55.9114 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DIP:DIP-28_W7.62mm" + (at 140.97 90.17 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/ATmega328_P%20AVR%20MCU%20with%20picoPower%20Technology%20Data%20Sheet%2040001984A.pdf" + (at 140.97 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "20MHz, 32kB Flash, 2kB SRAM, 1kB EEPROM, DIP-28" + (at 140.97 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "7" + (uuid "280bffd3-92d5-4f72-9d7e-a6fe937bc471") + ) + (pin "19" + (uuid "18ad5fdd-ea48-4906-80a6-9ba5d8fd4d22") + ) + (pin "28" + (uuid "af9100b2-d325-4fcc-bffe-7db9d1e7990e") + ) + (pin "3" + (uuid "040795bf-8115-4ac6-a6f9-3fb4f2e5f5eb") + ) + (pin "10" + (uuid "1666cebb-b138-42a6-ba33-c2b443914e9b") + ) + (pin "27" + (uuid "d5743f40-6b3f-494a-bc7b-7d24ec9d0d6c") + ) + (pin "4" + (uuid "37c67866-ab27-4ebe-90b4-a77a03e3f008") + ) + (pin "5" + (uuid "1d258df4-c312-4a45-8afd-260ed570fd62") + ) + (pin "11" + (uuid "953e1b73-2fd4-4e36-a383-a810b90f96cf") + ) + (pin "1" + (uuid "8bc61e06-adca-41ae-a84b-f861c75c3138") + ) + (pin "16" + (uuid "5cb0feca-f938-4ad2-ae29-760eb907eedb") + ) + (pin "17" + (uuid "bd19448d-9b56-4ed1-b6b8-61da31f9ac89") + ) + (pin "15" + (uuid "0528c36c-7241-4466-9c33-0b6583f68a9c") + ) + (pin "2" + (uuid "186fd9d6-bed9-47a8-9f86-f41948424279") + ) + (pin "21" + (uuid "75330c27-758c-48f6-b8d6-6895f0f217a7") + ) + (pin "24" + (uuid "02479c7c-d94d-464e-a539-b1c00d2ec8c8") + ) + (pin "26" + (uuid "e10234ce-0745-4290-a09d-8694a321a274") + ) + (pin "22" + (uuid "7e96266c-003b-4b6e-8cf0-d7cc5773b34c") + ) + (pin "6" + (uuid "91f28af2-f204-4220-9294-61428e567786") + ) + (pin "12" + (uuid "ad6e232c-420f-4c2e-8f33-b09aeefcb7bc") + ) + (pin "13" + (uuid "990a804b-c520-42d7-ac68-ec6bcfcce7f2") + ) + (pin "18" + (uuid "55a1fd7c-5374-486e-88eb-73f1924da425") + ) + (pin "14" + (uuid "cced639b-5f1d-4e70-98e5-d0e624fbeb41") + ) + (pin "20" + (uuid "36c22895-3886-4eed-b99f-e6420f802e40") + ) + (pin "23" + (uuid "cdc9e7ed-7190-46c2-941d-8a67404025f1") + ) + (pin "8" + (uuid "9936efa1-8401-4a46-99cd-261442088bec") + ) + (pin "9" + (uuid "daccb833-5456-4787-bc55-d95213919e19") + ) + (pin "25" + (uuid "e52a8866-7cce-45ed-958f-74190c1ef070") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 191.77 101.6 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3d0f56f8-5338-4c07-a77e-17fd9a0e0e6b") + (property "Reference" "#PWR02" + (at 191.77 107.95 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 191.77 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 191.77 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 191.77 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 191.77 101.6 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "929dcb5c-8ceb-4e51-af73-617e0dea9551") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 68.58 49.53 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4b870a73-56fc-497f-8f55-17b56847ed15") + (property "Reference" "C5" + (at 72.39 48.2599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "100nF" + (at 72.39 50.7999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 67.6148 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 68.58 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 68.58 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "09227a5d-48c3-4711-af32-3363139d3297") + ) + (pin "1" + (uuid "1e1d14ae-2b91-4ba9-b3bf-90fe60fa19f5") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x08_Pin") + (at 22.86 139.7 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "531ef052-2d69-4546-ad10-33ca5a7fbf05") + (property "Reference" "J3" + (at 23.495 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x08_Pin" + (at 23.495 129.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (at 22.86 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x08, script generated" + (at 22.86 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "5" + (uuid "614d5498-53b3-4db0-bfa3-7a458f680f68") + ) + (pin "1" + (uuid "8bea6618-4a63-4816-8327-5a848bf27c42") + ) + (pin "3" + (uuid "95d7223b-9f91-4bdd-919d-dff730e163cc") + ) + (pin "8" + (uuid "bdf65896-9325-408a-9a28-e8a7269c3060") + ) + (pin "4" + (uuid "38ed5742-bb60-4019-bd1b-61a475fe6da9") + ) + (pin "2" + (uuid "bfeea5ea-0ba8-4655-acfe-a00176bd5546") + ) + (pin "6" + (uuid "ae25e830-b94e-45e6-9c15-5f1e86ef4b85") + ) + (pin "7" + (uuid "be7eebab-9881-4d12-92f9-9c58cc81e12b") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 101.6 48.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5f77c9a5-9dc5-4b0f-818c-51cdf63f2fc3") + (property "Reference" "C10" + (at 105.41 46.9899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 105.41 49.5299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 102.5652 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 101.6 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 101.6 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "4872adfd-aa6e-4496-983c-116ce783f628") + ) + (pin "1" + (uuid "890c20da-f028-4c7f-ad0a-cf31ffe935bf") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 107.95 90.17 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6275bed6-4c22-4fb1-b1ab-55a16c4f8089") + (property "Reference" "R3" + (at 110.49 88.8999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "330R" + (at 110.49 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 106.172 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 107.95 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 107.95 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3ecfa1b9-3be2-4d48-b81c-634938dc3246") + ) + (pin "2" + (uuid "5f0a95b6-aaf3-4faa-84ef-8d2d78fc6b59") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "R3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 107.95 97.79 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "66080716-fd5d-4e45-b6db-1fee47dcf529") + (property "Reference" "D4" + (at 110.49 94.9324 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LED" + (at 110.49 97.4724 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 107.95 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 107.95 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 107.95 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "934f450b-2107-4a0b-89ea-10b617100913") + ) + (pin "2" + (uuid "606d53d4-8fb5-4703-8de6-d88f95485540") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "D4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x06_Pin") + (at 22.86 182.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7c2c2005-560f-4422-bf50-78fdefce02be") + (property "Reference" "J1" + (at 23.495 172.72 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x06_Pin" + (at 23.495 175.26 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x06, script generated" + (at 22.86 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "6" + (uuid "68352fb2-9efa-4930-a064-466e578ad5c2") + ) + (pin "2" + (uuid "46fb8a6e-606f-4ef3-b543-aeffe1d7d107") + ) + (pin "3" + (uuid "1c775754-564d-4b7f-b4ca-1ce1a92aab79") + ) + (pin "5" + (uuid "49b9032b-832e-4df4-a34d-b4c42219f0b2") + ) + (pin "1" + (uuid "4b890bbc-c5b7-4d5c-b423-7671304b90ad") + ) + (pin "4" + (uuid "96d1e474-77a9-4682-999a-cac4f01034b7") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:USB_B") + (at 19.05 60.96 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "80912288-443b-4d7e-95af-0f50bcfba20a") + (property "Reference" "J5" + (at 19.05 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "USB_B" + (at 19.05 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_B_Lumberg_2411_02_Horizontal" + (at 22.86 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" " ~" + (at 22.86 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Type B connector" + (at 19.05 60.96 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "db319b90-42a7-4eb7-8100-3b57a0ff794e") + ) + (pin "3" + (uuid "04dc43ed-bc25-4f81-acc8-35e8dc6b94c1") + ) + (pin "5" + (uuid "1fd6c4ff-478f-4f8f-9363-aab07d61b796") + ) + (pin "4" + (uuid "0ff92123-fc8b-4710-a0b9-041338a420dc") + ) + (pin "1" + (uuid "90d629d1-9ea6-4601-89a8-02aed8c3cb8f") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 39.37 29.21 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8ca8c8c0-c10e-4f34-b093-8885911cbc64") + (property "Reference" "C7" + (at 43.18 27.9399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100uF" + (at 43.18 30.4799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_Elec_10x10.2" + (at 40.3352 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 39.37 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 39.37 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "b7f865b6-37ed-4c89-9b3b-c9696cb300de") + ) + (pin "1" + (uuid "45351c46-1d88-469a-a030-9cce5f79f751") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:LM7805_TO220") + (at 55.88 25.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8de87e36-fa4a-4da5-849e-7b76dae9a411") + (property "Reference" "U2" + (at 55.88 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LM7805_TO220" + (at 55.88 21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" + (at 55.88 19.685 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.onsemi.cn/PowerSolutions/document/MC7800-D.PDF" + (at 55.88 26.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Positive 1A 35V Linear Regulator, Fixed Output 5V, TO-220" + (at 55.88 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d6fa9066-4549-402b-a1ef-4da3473c2b46") + ) + (pin "2" + (uuid "be14fe32-d17a-4d7e-b230-2ccf5afec6f4") + ) + (pin "3" + (uuid "a4e1bbea-ab0b-4d12-aa8a-0f81178e4585") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "U2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 181.61 97.79 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8f413b75-5027-4f27-bdce-4dafc49741b1") + (property "Reference" "C2" + (at 185.42 96.5199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "22pf" + (at 185.42 99.0599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 180.6448 93.98 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 181.61 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 181.61 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "469903b5-1598-48a7-a4bd-804f995bcf0b") + ) + (pin "1" + (uuid "094c5bcc-b7b4-4f64-b021-039416b6cebb") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:AMS1117-3.3") + (at 93.98 44.45 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a5027e46-3ad8-4a0c-a6c5-d5e8ea0ad2ab") + (property "Reference" "U4" + (at 93.98 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "AMS1117-3.3" + (at 93.98 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" + (at 93.98 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" + (at 96.52 50.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" + (at 93.98 44.45 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "fda5147e-5cff-420a-9529-5c245652af41") + ) + (pin "3" + (uuid "141fd5ea-ae80-4547-9455-121c7ba6e2b6") + ) + (pin "2" + (uuid "0d5bfa1c-c37e-4bf2-85b8-30f2725de74d") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "U4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 96.52 90.17 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a773a9c3-3490-4a26-9c94-ffb4d3047a05") + (property "Reference" "R2" + (at 99.06 88.8999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "330R" + (at 99.06 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 94.742 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 96.52 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 96.52 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5ca879c8-13bc-4517-b41f-5f4db6026145") + ) + (pin "2" + (uuid "efe40f38-636f-4dfd-8481-e60e8956d309") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "R2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 83.82 97.79 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "ab8ffdf6-4cc8-4566-a997-3294df3bc678") + (property "Reference" "D2" + (at 86.36 94.9324 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LED" + (at 86.36 97.4724 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 83.82 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 83.82 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 83.82 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b2194143-78ae-4a0a-86fc-6c904fbdf7a8") + ) + (pin "2" + (uuid "239b589c-d2a8-4ae2-88ff-49047961c72f") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "D2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Crystal") + (at 170.18 90.17 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b59a39e5-8bb0-4ad6-80ba-f2a5749a60f2") + (property "Reference" "Y1" + (at 173.99 88.8999 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "Crystal" + (at 173.99 91.4399 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Crystal:Crystal_HC49-4H_Vertical" + (at 170.18 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 170.18 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Two pin crystal" + (at 170.18 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "8699f322-9150-460b-bbe9-221c1eaae35f") + ) + (pin "1" + (uuid "034ecb9f-d0c2-4ccf-8b62-ff865948a9b9") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "Y1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 181.61 82.55 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c339de3b-8420-4669-9d54-65d4fce2e58b") + (property "Reference" "C1" + (at 185.42 81.2799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "22pf" + (at 185.42 83.8199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 180.6448 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 181.61 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 181.61 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "26d30447-d49f-4e0e-8cd5-7fe4e2c92b42") + ) + (pin "1" + (uuid "3a7c6c69-f7b7-4ad3-9853-7a7e198e7adc") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 86.36 48.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c3b10890-2111-452a-82d7-375c5b6d04da") + (property "Reference" "C6" + (at 90.17 46.9899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100nF" + (at 90.17 49.5299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 87.3252 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 86.36 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 86.36 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "c64bbd0f-4289-48e4-960c-67d0c2e540fe") + ) + (pin "1" + (uuid "60d7db30-5737-4eca-80d7-7fc232695786") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R") + (at 118.11 90.17 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cc84baab-7bfb-4f86-a4e7-d4ccf7023ed5") + (property "Reference" "R4" + (at 120.65 88.8999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "330R" + (at 120.65 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 116.332 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 118.11 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor" + (at 118.11 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "304f8068-c555-4a86-ab6a-88170cbbc2d4") + ) + (pin "2" + (uuid "d861bb9a-8992-4d0d-b5c5-ae5c1d1749f7") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "R4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 118.11 97.79 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cdf387d5-653e-4a54-bf3a-9c46b2ba391d") + (property "Reference" "D5" + (at 120.65 94.9324 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LED" + (at 120.65 97.4724 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 118.11 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 118.11 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 118.11 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9c99ba80-9808-4b63-891b-1a639a574865") + ) + (pin "2" + (uuid "1b6def3c-9101-420d-8efe-a8bdcf10dabb") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "D5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:D") + (at 33.02 25.4 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d53a53ed-3892-4ed8-a26d-1f991bcf0eff") + (property "Reference" "D1" + (at 33.02 19.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "IN4007" + (at 33.02 21.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SMA_Handsoldering" + (at 33.02 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 33.02 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Diode" + (at 33.02 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Device" "D" + (at 33.02 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Sim.Pins" "1=K 2=A" + (at 33.02 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0587581d-00bd-454e-8f39-43dffb8e66f6") + ) + (pin "2" + (uuid "1f5e81c2-1275-4594-ae95-04337a537499") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "D1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x08_Pin") + (at 58.42 181.61 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "def35bc1-9a81-471b-b0ba-348ac1cf0bfe") + (property "Reference" "J7" + (at 59.055 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x08_Pin" + (at 59.055 171.45 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical" + (at 58.42 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 58.42 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x08, script generated" + (at 58.42 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "8" + (uuid "a407e9b1-0033-471e-863f-6aa55bb5f75d") + ) + (pin "4" + (uuid "ae57beee-f6e7-4a01-add8-b5e298e853b0") + ) + (pin "7" + (uuid "ca59bee2-6da2-4eed-ac87-2e2a69ff1328") + ) + (pin "2" + (uuid "22fdf31d-c85b-41de-938d-45d4b7720f05") + ) + (pin "6" + (uuid "74f077b8-888c-4ef5-930d-6dc24c4faae9") + ) + (pin "5" + (uuid "f3b67a42-1e39-41e9-a3e8-541f9e23c04f") + ) + (pin "3" + (uuid "c489bf66-773c-4879-adbf-9b735b41dc78") + ) + (pin "1" + (uuid "1c95887c-cff9-4612-93da-10b59ba562fe") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 96.52 97.79 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "eae9f4f7-81ff-4390-a85c-97704c46e481") + (property "Reference" "D3" + (at 99.06 94.9324 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "LED" + (at 99.06 97.4724 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "LED_SMD:LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder" + (at 96.52 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 96.52 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 96.52 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9af57780-0cdd-4385-9a21-75c0fc16f797") + ) + (pin "2" + (uuid "93fcc8d7-2d83-49b4-87a2-9579998b3622") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "D3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 63.5 29.21 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f2c79a92-b998-4b4d-929f-1b4a699c4d5f") + (property "Reference" "C8" + (at 67.31 27.9399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100uF" + (at 67.31 30.4799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_Elec_10x10.2" + (at 64.4652 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 63.5 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 63.5 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "8c8e0e0e-382c-4578-9945-1e31376d03ec") + ) + (pin "1" + (uuid "71a222fe-2c10-4b81-a416-e8be8507e17a") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_01x07_Pin") + (at 22.86 163.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f72ff772-5292-4285-8322-a3cae0cc448e") + (property "Reference" "J2" + (at 23.495 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x07_Pin" + (at 23.495 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x07_P2.54mm_Vertical" + (at 22.86 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 22.86 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x07, script generated" + (at 22.86 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "48436db5-11d3-4fc3-8199-33d2524a65b3") + ) + (pin "4" + (uuid "5a742961-0fd7-4ae4-b201-ac9847986504") + ) + (pin "5" + (uuid "2c650294-c7f0-4850-b4da-26a74d41896c") + ) + (pin "6" + (uuid "22d9f516-e56b-4bba-99d9-8664d134b454") + ) + (pin "2" + (uuid "0ce194e0-a571-48c5-b7b5-27525f31a979") + ) + (pin "1" + (uuid "e62b0efe-8727-41c9-9aad-27d85dbd38d1") + ) + (pin "7" + (uuid "a5b0dba9-147a-4638-9564-848c7a569ae2") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "J2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Interface_USB:CH340G") + (at 49.53 69.85 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fb023164-5706-4453-bcc0-e5db38f39af2") + (property "Reference" "U3" + (at 51.7241 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "CH340G" + (at 51.7241 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" + (at 50.8 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" + (at 40.64 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB serial converter, UART, SOIC-16" + (at 49.53 69.85 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "7" + (uuid "a73df338-2189-4131-a5d8-b29b740e1c0b") + ) + (pin "8" + (uuid "384e59b3-7971-480f-9982-ccd20eb07530") + ) + (pin "12" + (uuid "3cbce79b-14ed-43c2-9cf5-a3f2ccba2d66") + ) + (pin "10" + (uuid "409f9657-11a9-4d81-bae4-e2bed6e92e89") + ) + (pin "1" + (uuid "b4d6cc52-d388-4b86-ae48-0ff0e0aaf7c0") + ) + (pin "5" + (uuid "ed873dd6-05f5-4954-a5b0-54596a01daea") + ) + (pin "16" + (uuid "aa9c16ce-bff3-4a2c-90c0-a00d3cef3782") + ) + (pin "15" + (uuid "ca76ec07-3356-49a8-857d-0896d43135ba") + ) + (pin "3" + (uuid "95ff7f84-bc9b-4f77-94a9-4d011c2cd0f0") + ) + (pin "4" + (uuid "f9e87eec-1423-458a-bdd0-ededdc950a5f") + ) + (pin "2" + (uuid "55219734-921d-4c37-9bd6-de0f8a884a94") + ) + (pin "6" + (uuid "6d4b0840-70bf-4871-a670-963e3a2cf66e") + ) + (pin "11" + (uuid "dc3dca30-9594-44db-b766-7f6754448def") + ) + (pin "9" + (uuid "d6fe18e5-5da9-436e-97c4-0b382a235af3") + ) + (pin "14" + (uuid "e1af8fe6-82f0-412e-be6e-5c02f56831f4") + ) + (pin "13" + (uuid "f4f34f73-af8f-4f0a-8f2a-147625b30007") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "U3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C") + (at 26.67 92.71 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ff5a43a3-4520-4be3-a6e1-c4335785eba5") + (property "Reference" "C3" + (at 30.48 91.4399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "22pf" + (at 30.48 93.9799 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 25.7048 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 26.67 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor" + (at 26.67 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "d96d2e42-1448-4eeb-ae9a-b40718a562ba") + ) + (pin "1" + (uuid "f8d32a11-b444-4aed-81e8-1e4d81f0ae13") + ) + (instances + (project "arduino_v1" + (path "/b50b7768-9da7-41e1-bada-e5ae1f2ba9b1" + (reference "C3") + (unit 1) + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +)