diff --git a/cv32e40p/env/corev-dv/cv32e40p_instr_test_pkg.sv b/cv32e40p/env/corev-dv/cv32e40p_instr_test_pkg.sv index 299bb1f8d2..9ec248f1f4 100644 --- a/cv32e40p/env/corev-dv/cv32e40p_instr_test_pkg.sv +++ b/cv32e40p/env/corev-dv/cv32e40p_instr_test_pkg.sv @@ -119,15 +119,18 @@ package cv32e40p_instr_test_pkg; function automatic void push_gpr_to_debugger_stack(cv32e40p_instr_gen_config cfg_corev, ref string instr[$]); string store_instr = (XLEN == 32) ? "sw" : "sd"; - // Reserve space from kernel stack to save all 32 GPR except for x0 - instr.push_back($sformatf("1: addi x%0d, x%0d, -%0d", cfg_corev.dp, cfg_corev.dp, 31 * (XLEN/8))); - // Push all GPRs to kernel stack + // Reserve space from debugger stack to save all 32 GPR except for x0 + MSCRATCH + instr.push_back($sformatf("1: addi x%0d, x%0d, -%0d", cfg_corev.dp, cfg_corev.dp, 32 * (XLEN/8))); + // Push all GPRs to debugger stack for(int i = 1; i < 32; i++) begin if (i == cfg_corev.dp) continue; if (i == cfg_corev.sp) continue; if (i == cfg_corev.tp) continue; instr.push_back($sformatf("%0s x%0d, %0d(x%0d)", store_instr, i, (i-1) * (XLEN/8), cfg_corev.dp)); end + // Read and Push MSCRATCH to debugger stack + instr.push_back($sformatf("csrrw x5, 0x340, x5 # MSCRATCH")); + instr.push_back($sformatf("%0s x%0d, %0d(x%0d)", store_instr, 5, 31 * (XLEN/8), cfg_corev.dp)); endfunction : push_gpr_to_debugger_stack // Push floating point registers to the debugger stack @@ -217,6 +220,9 @@ package cv32e40p_instr_test_pkg; if (i == cfg_corev.tp) continue; instr.push_back($sformatf("%0s x%0d, %0d(x%0d)", load_instr, i, (i-1) * (XLEN/8), cfg_corev.dp)); end + // Pop and Write MSCRATCH from debugger stack + instr.push_back($sformatf("%0s x%0d, %0d(x%0d)", load_instr, 5, 31 * (XLEN/8), cfg_corev.dp)); + instr.push_back($sformatf("csrrw x5, 0x340, x5 # MSCRATCH")); // Restore debugger stack pointer instr.push_back($sformatf("addi x%0d, x%0d, %0d", cfg_corev.dp, cfg_corev.dp, 31 * (XLEN/8))); endfunction : pop_gpr_from_debugger_stack diff --git a/cv32e40p/env/corev-dv/cv32e40p_rand_instr_stream.sv b/cv32e40p/env/corev-dv/cv32e40p_rand_instr_stream.sv index 5c9e1729f3..eeb9a839a1 100644 --- a/cv32e40p/env/corev-dv/cv32e40p_rand_instr_stream.sv +++ b/cv32e40p/env/corev-dv/cv32e40p_rand_instr_stream.sv @@ -57,44 +57,48 @@ class cv32e40p_rand_instr_stream extends riscv_rand_instr_stream; `uvm_fatal(`gfn, $sformatf("Function implementation is not ready for replace==1")) end - if(current_instr_cnt == 0) begin + if (current_instr_cnt == 0) begin instr_list = new_instr; return; end - if(idx == -1) begin + + if (idx == -1) begin bit idx_search_done = 0; int rand_cnt = 0; - do begin - idx = $urandom_range(0, current_instr_cnt-1); - end while (instr_list[idx].atomic); - while (!instr_list[idx].atomic && !idx_search_done) begin + do begin : STREAM_PLACEMENT int idx_e = 0; + + idx = $urandom_range(1, current_instr_cnt-1); idx_e = (idx + new_instr_cnt-1); - if (idx_start.size() == 0) begin : SEARCH_IDX_FOR_NEW_INSTR + if (idx_start.size() == 0) begin idx_min = idx; idx_search_done = 1; - end // SEARCH_IDX_FOR_NEW_INSTR - else begin + end + else if (instr_list[idx].atomic)begin : CHECK_IDX_OVERLAP_WITH_ATOMIC + idx_search_done = 0; + end // DETECT_OVERLAP_IDX_WITH_ATOMIC + else begin : CHECK_IDX_OVERLAP_WITH_PREV_STREAM foreach (idx_start[i]) begin if ( (idx >= idx_start[i] && idx <= idx_end[i]) || (idx_e >= idx_start[i] && idx_e <= idx_end[i]) || (idx_start[i] >= idx && idx_start[i] <= idx_e) || - (idx_end[i] >= idx && idx_end[i] <= idx_e) - ) begin : DETECT_OVERLAP_IDX_FOR_NEW_INSTR + (idx_end[i] >= idx && idx_end[i] <= idx_e)) + begin : OVERLAP_IDX_AGAINST_PREV_STREAMS + idx_search_done = 0; break; - end // DETECT_OVERLAP_IDX_FOR_NEW_INSTR - else begin : NON_OVERLAP_IDX_FOR_NEW_INSTR + end // OVERLAP_IDX_AGAINST_PREV_STREAMS + else begin : NO_OVERLAP_IDX_AGAINST_PREV_STREAMS if (i == (idx_start.size()-1)) begin idx_search_done = 1; break; end - end // NON_OVERLAP_IDX_FOR_NEW_INSTR + end // NO_OVERLAP_IDX_AGAINST_PREV_STREAMS end // foreach - end // SEARCH_IDX_FOR_NEW_INSTR + end // CHECK_IDX_OVERLAP_WITH_PREV_STREAM if (idx_search_done) begin int idx_placement[$]; @@ -123,7 +127,7 @@ class cv32e40p_rand_instr_stream extends riscv_rand_instr_stream; if (rand_cnt >= 200) begin int idx_placement[$]; `uvm_info(`gfn, $sformatf("placement limit %0d reached. Place the stream at begining of instr_list", rand_cnt), UVM_NONE) - idx = 0; + idx = 1; idx_e = (idx + new_instr_cnt-1); idx_start.push_front(idx); idx_end.push_front(idx_e); @@ -135,23 +139,8 @@ class cv32e40p_rand_instr_stream extends riscv_rand_instr_stream; break; end // rand_cnt - do begin - idx = $urandom_range(0, current_instr_cnt-1); - end while (instr_list[idx].atomic); - - end // while - - if (instr_list[idx].atomic) begin - foreach (instr_list[i]) begin - if (!instr_list[i].atomic) begin - idx = i; - break; - end - end - if (instr_list[idx].atomic) begin - `uvm_fatal(`gfn, $sformatf("Cannot inject the instruction")) - end - end // instr_list[idx].atomic + end // STREAM_PLACEMENT + while (!idx_search_done); end else if((idx > current_instr_cnt) || (idx < 0)) begin `uvm_error(`gfn, $sformatf("Cannot insert instr stream at idx %0d", idx)) diff --git a/cv32e40p/env/corev-dv/instr_lib/cv32e40p_instr_for_func_cvg_lib.sv b/cv32e40p/env/corev-dv/instr_lib/cv32e40p_instr_for_func_cvg_lib.sv index a92d0fd572..9da800fe48 100644 --- a/cv32e40p/env/corev-dv/instr_lib/cv32e40p_instr_for_func_cvg_lib.sv +++ b/cv32e40p/env/corev-dv/instr_lib/cv32e40p_instr_for_func_cvg_lib.sv @@ -215,8 +215,16 @@ class cv32e40p_xpulp_single_hwloop_stream_directed extends cv32e40p_xpulp_hwloop } constraint num_hwloop_instr_c { + solve use_loop_endi_inst before num_hwloop_instr; foreach (num_hwloop_instr[i]) { - num_hwloop_instr[i] dist { 3 := 1, 3074 := 5, 4092 := 1 }; + // the max setting of Uimm[11:0] is 4092 however the the hwloop start label is not immediately following after cv.endi, + // there is randomize numberof instr inserted between cv.endi and hwloop start label we need to keep some buffer from + // max value so that the number instr can never be max 4092 (-5 buffer for instrs prior hwloop) + if (use_loop_endi_inst[i]) { + num_hwloop_instr[i] dist { 3 := 1, 3074 := 5, 4087 := 1 }; + } else { + num_hwloop_instr[i] dist { 3 := 1, 3074 := 5, 4092 := 1 }; + } num_fill_instr_loop_ctrl_to_loop_start[i] inside {[0:7]}; } num_fill_instr_in_loop1_till_loop0_setup == 0; diff --git a/cv32e40p/env/corev-dv/instr_lib/cv32e40p_pulp_hwloop_instr_lib.sv b/cv32e40p/env/corev-dv/instr_lib/cv32e40p_pulp_hwloop_instr_lib.sv index 37bc5150a4..cee892638b 100644 --- a/cv32e40p/env/corev-dv/instr_lib/cv32e40p_pulp_hwloop_instr_lib.sv +++ b/cv32e40p/env/corev-dv/instr_lib/cv32e40p_pulp_hwloop_instr_lib.sv @@ -90,6 +90,7 @@ class cv32e40p_xpulp_hwloop_base_stream extends cv32e40p_xpulp_rand_stream; rand int unsigned num_fill_instr_in_loop1_till_loop0_setup; rand bit setup_l0_before_l1_start; + riscv_reg_t compress_regs[8] = {S0, S1, A0, A1, A2, A3, A4, A5}; int unsigned num_instr_cv_start_to_loop_start_label[2]; cv32e40p_instr hwloop_setupi_instr[2]; cv32e40p_instr hwloop_setup_instr[2]; @@ -395,6 +396,8 @@ class cv32e40p_xpulp_hwloop_base_stream extends cv32e40p_xpulp_rand_stream; //************************************************************* if(gen_nested_loop) begin //NESTED HWLOOP + bit std_rand_done = 0; + int unsigned std_rand_cnt = 0; gen_cv_count0_instr = $urandom(); //calculate num_rem_hwloop1_instr @@ -418,12 +421,25 @@ class cv32e40p_xpulp_hwloop_base_stream extends cv32e40p_xpulp_rand_stream; //Initialize GPRs used as RS1 in HWLOOP Instructions hwloop_avail_regs = new[6]; //index fixed for this stream from 0:2 for start0,end0,count0=setup0; 3:5 for start1,end1,count1=setup1 respectively - std::randomize(hwloop_avail_regs) with { unique {hwloop_avail_regs}; - foreach(hwloop_avail_regs[i]) { - !(hwloop_avail_regs[i] inside {ZERO, RA, SP, GP, TP}); - !(hwloop_avail_regs[i] inside {cfg.reserved_regs}); - } - }; + std_rand_cnt = 0; + do begin + int unsigned is_compress_reg_cnt = 0; + if (std_rand_cnt == 100) begin + `uvm_fatal(this.get_type_name(), "std randomization count limit hit, please review") + end + std::randomize(hwloop_avail_regs) with { unique {hwloop_avail_regs}; + foreach(hwloop_avail_regs[i]) { + !(hwloop_avail_regs[i] inside {ZERO, RA, SP, GP, TP}); + !(hwloop_avail_regs[i] inside {cfg.reserved_regs}); + } + }; + foreach (compress_regs[i]) begin + if (compress_regs[i] inside {cfg.reserved_regs, hwloop_avail_regs}) is_compress_reg_cnt++; + end + if (is_compress_reg_cnt == $size(compress_regs)) std_rand_done = 0; else std_rand_done = 1; + if (!std_rand_done) std_rand_cnt++; + end + while (!std_rand_done); reserved_rd = hwloop_avail_regs; diff --git a/cv32e40p/env/uvme/cov/uvme_rv32x_hwloop_covg.sv b/cv32e40p/env/uvme/cov/uvme_rv32x_hwloop_covg.sv index a2acffa133..46708a3aea 100644 --- a/cv32e40p/env/uvme/cov/uvme_rv32x_hwloop_covg.sv +++ b/cv32e40p/env/uvme/cov/uvme_rv32x_hwloop_covg.sv @@ -508,7 +508,8 @@ class uvme_rv32x_hwloop_covg # ( check_ebreakm_entry(i); \ end \ if (is_pc_equal_lpend(hwloop_stat_``TYPE``.hwloop_csr, i, 0, cv32e40p_rvvi_vif.pc_rdata) && hwloop_stat_``TYPE``.track_lp_cnt[i] != 0) begin \ - if (pending_irq) lpend_has_pending_irq_``TYPE``[i] = 1; \ + // if (pending_irq) lpend_has_pending_irq_``TYPE``[i] = 1; \ + if (pending_irq && cv32e40p_rvvi_vif.trap) lpend_has_pending_irq_``TYPE``[i] = 1; \ hwloop_stat_``TYPE``.track_lp_cnt[i]--; \ done_insn_list_capture_``TYPE``[i] = 1; \ assert(hwloop_stat_``TYPE``.track_lp_cnt[i] >= 0); \ @@ -543,7 +544,8 @@ class uvme_rv32x_hwloop_covg # ( check_ebreakm_entry(i); \ end \ if (is_pc_equal_lpend(hwloop_stat_``TYPE``.hwloop_csr, i, 0, cv32e40p_rvvi_vif.pc_rdata) && hwloop_stat_``TYPE``.track_lp_cnt[i] != 0) begin \ - if (pending_irq) lpend_has_pending_irq_``TYPE``[i] = 1; \ + // if (pending_irq) lpend_has_pending_irq_``TYPE``[i] = 1; \ + if (pending_irq && cv32e40p_rvvi_vif.trap) lpend_has_pending_irq_``TYPE``[i] = 1; \ hwloop_stat_``TYPE``.track_lp_cnt[i]--; \ done_insn_list_capture_``TYPE``[i] = 1; \ assert(hwloop_stat_``TYPE``.track_lp_cnt[i] >= 0); \ @@ -873,7 +875,7 @@ class uvme_rv32x_hwloop_covg # ( end // IRQ_EXIT forever begin : SIGNALS_CHG_WHEN_IS_IRQ_ASSERT @(posedge is_irq); - if (is_ebreakm) begin + if (is_ebreakm) begin // TBD: will ebreakm assert trap? for (int j=0; j31, check for illegal in coverage + regfile_waddr_wb_contention = regfile_waddr_wb_o; // contention between lsu and fpu using wb path end //Case FPU Latency = 1;regfile wr from WB;LSU > priority;LSU contention - FPU reg write cycle after contention else if((contention_valid == 1) && (regfile_we_wb_o == 1) && !apu_perf_wb_o) begin diff --git a/cv32e40p/tests/cfg/pulp.yaml b/cv32e40p/tests/cfg/pulp.yaml index 5d9948f909..5fa25f873a 100644 --- a/cv32e40p/tests/cfg/pulp.yaml +++ b/cv32e40p/tests/cfg/pulp.yaml @@ -18,6 +18,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP diff --git a/cv32e40p/tests/cfg/pulp_cluster.yaml b/cv32e40p/tests/cfg/pulp_cluster.yaml index b6ba8a7252..e485590483 100644 --- a/cv32e40p/tests/cfg/pulp_cluster.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster.yaml @@ -18,6 +18,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu.yaml index b5453bedb7..83023a6137 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu_1cyclat.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu_1cyclat.yaml index 91c997582f..ede8c94f0e 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu_1cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu_1cyclat.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu_2cyclat.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu_2cyclat.yaml index f7baf35843..0cc1b69cc0 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu_2cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu_2cyclat.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx.yaml index 854ac8562b..66f618d998 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU -DZFINX diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_1cyclat.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_1cyclat.yaml index ccd3016379..201014d807 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_1cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_1cyclat.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU -DZFINX diff --git a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_2cyclat.yaml b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_2cyclat.yaml index f996908355..51a0126cfe 100644 --- a/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_2cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_cluster_fpu_zfinx_2cyclat.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0_xcvelw1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip_xcvelw cflags: > -DPULP -DCLUSTER -DFPU -DZFINX diff --git a/cv32e40p/tests/cfg/pulp_fpu.yaml b/cv32e40p/tests/cfg/pulp_fpu.yaml index 250f827314..228e8d51ae 100644 --- a/cv32e40p/tests/cfg/pulp_fpu.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU diff --git a/cv32e40p/tests/cfg/pulp_fpu_1cyclat.yaml b/cv32e40p/tests/cfg/pulp_fpu_1cyclat.yaml index 4dcf046143..0359e9edb0 100644 --- a/cv32e40p/tests/cfg/pulp_fpu_1cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu_1cyclat.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU diff --git a/cv32e40p/tests/cfg/pulp_fpu_2cyclat.yaml b/cv32e40p/tests/cfg/pulp_fpu_2cyclat.yaml index 85fda35fa4..284109b4cb 100644 --- a/cv32e40p/tests/cfg/pulp_fpu_2cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu_2cyclat.yaml @@ -19,6 +19,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imfc_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU diff --git a/cv32e40p/tests/cfg/pulp_fpu_zfinx.yaml b/cv32e40p/tests/cfg/pulp_fpu_zfinx.yaml index c76a9f077b..91a5ca1485 100644 --- a/cv32e40p/tests/cfg/pulp_fpu_zfinx.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu_zfinx.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU -DZFINX diff --git a/cv32e40p/tests/cfg/pulp_fpu_zfinx_1cyclat.yaml b/cv32e40p/tests/cfg/pulp_fpu_zfinx_1cyclat.yaml index bdb8282c3c..dd763c61a9 100644 --- a/cv32e40p/tests/cfg/pulp_fpu_zfinx_1cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu_zfinx_1cyclat.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU -DZFINX diff --git a/cv32e40p/tests/cfg/pulp_fpu_zfinx_2cyclat.yaml b/cv32e40p/tests/cfg/pulp_fpu_zfinx_2cyclat.yaml index bd0e5fb6bb..461c976ec0 100644 --- a/cv32e40p/tests/cfg/pulp_fpu_zfinx_2cyclat.yaml +++ b/cv32e40p/tests/cfg/pulp_fpu_zfinx_2cyclat.yaml @@ -20,6 +20,6 @@ ovpsim: > -showinstanceparams cpu # Debug options (add to ovpsim section as needed) # --trace --tracechange --traceshowicount --tracemode --monitornets -cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp1p0_xcvmem1p0_xcvmac1p0_xcvbi1p0_xcvalu1p0_xcvsimd1p0_xcvbitmanip1p0 +cv_sw_march: rv32imc_zfinx_zicsr_zifencei_xcvhwlp_xcvmem_xcvmac_xcvbi_xcvalu_xcvsimd_xcvbitmanip cflags: > -DPULP -DFPU -DZFINX diff --git a/cv32e40p/tests/programs/corev-dv/corev_rand_illegal_instr_test/corev-dv.yaml b/cv32e40p/tests/programs/corev-dv/corev_rand_illegal_instr_test/corev-dv.yaml index 4b65e2d94a..ecc4235764 100644 --- a/cv32e40p/tests/programs/corev-dv/corev_rand_illegal_instr_test/corev-dv.yaml +++ b/cv32e40p/tests/programs/corev-dv/corev_rand_illegal_instr_test/corev-dv.yaml @@ -4,7 +4,7 @@ description: > RISCV-DV generated random instruction test with illegal instructions plusargs: > +instr_cnt=30000 - +num_of_sub_program=5 + +num_of_sub_program=0 +directed_instr_0=riscv_load_store_rand_instr_stream,4 +directed_instr_1=riscv_loop_instr,4 +directed_instr_2=riscv_hazard_instr_stream,4 @@ -14,4 +14,5 @@ plusargs: > +directed_instr_6=riscv_jal_instr,4 +illegal_instr_ratio=10 +hint_instr_ratio=5 - + +test_override_riscv_instr_stream=1 + +test_override_riscv_instr_sequence=1 diff --git a/cv32e40p/tests/programs/custom/debug_test_boot_set/test.yaml b/cv32e40p/tests/programs/custom/debug_test_boot_set/test.yaml index 180044cab9..c00eb7ea9b 100644 --- a/cv32e40p/tests/programs/custom/debug_test_boot_set/test.yaml +++ b/cv32e40p/tests/programs/custom/debug_test_boot_set/test.yaml @@ -3,7 +3,7 @@ # Debug directed test for debug request at reset name: debug_test_boot_set uvm_test: uvmt_$(CV_CORE_LC)_firmware_test_c -program: debug_test_reset +program: debug_test_boot_set description: > Debug directed test, debug at reset plusargs: > diff --git a/cv32e40p/tests/programs/custom/illegal_instr_test/illegal_instr_test.S b/cv32e40p/tests/programs/custom/illegal_instr_test/illegal_instr_test.S index 4695272532..15c38b20df 100644 --- a/cv32e40p/tests/programs/custom/illegal_instr_test/illegal_instr_test.S +++ b/cv32e40p/tests/programs/custom/illegal_instr_test/illegal_instr_test.S @@ -26,6 +26,16 @@ test_results: .word 123456789 +#if !defined(PULP) + #define EXPECTED_ILLEGAL_INSTRUCTIONS 47595 +#else + #if defined(FPU) + #define EXPECTED_ILLEGAL_INSTRUCTIONS 45346 + #else + #define EXPECTED_ILLEGAL_INSTRUCTIONS 45346 + #endif +#endif + main: li t0, (0x1 << 3) csrs mstatus, t0 @@ -121,25 +131,35 @@ main: .word(0xfe8c8ebb) .word(0xdea2a36b) .word(0xa00f5a27) +#if !defined(PULP) .word(0x119d3aab) +#endif .word(0x2c28a7fb) .word(0xea126677) +#if !defined(PULP) .word(0x5236657b) +#endif .word(0x7edb1c27) .word(0xb51a36f7) .word(0x3c232f2f) .word(0x496a3477) +#if !defined(PULP) .word(0x436f607b) +#endif .word(0x9377f3e7) .word(0x624ad507) .word(0x214f4fa3) .word(0x977ae907) .word(0xaadc0c07) .word(0x97d2243b) +#if !defined(PULP) .word(0xa1b7e87b) +#endif .word(0x058fdb77) .word(0x5637944f) +#if !defined(PULP) .word(0xc42335db) +#endif .word(0x71d5370b) .word(0xd0cf07a7) .word(0x95ad67a3) @@ -150,7 +170,9 @@ main: .word(0x1fc81b4b) .word(0xd8dad76b) .word(0x06f35e6b) +#if !defined(PULP) .word(0x8c533cab) +#endif .word(0xf60c57ab) .word(0x4fcad3ab) .word(0x4d7231ab) @@ -164,11 +186,15 @@ main: .word(0xccfcfc2f) .word(0x16f25ed3) .word(0x63887ed3) +#if !defined(PULP) .word(0x52f3b5ab) +#endif .word(0x08936627) .word(0x2c40559b) .word(0xbe1984cb) +#if !defined(PULP) .word(0xf82923db) +#endif .word(0x7103be2f) .word(0x39c4a66b) .word(0x552575bb) @@ -180,7 +206,9 @@ main: .word(0x9dbc64d7) .word(0xe801bb3b) .word(0x000f95f7) +#if !defined(PULP) .word(0x698de77b) +#endif .word(0x525565a3) .word(0xd77a9ac7) .word(0x5e035bd3) @@ -196,7 +224,9 @@ main: .word(0x866de4af) .word(0x271f1853) .word(0x6b4bf16b) +#if !defined(PULP) .word(0x92b4ff7b) +#endif .word(0x8931ba1b) .word(0x8dcdeafb) .word(0x9cd134d3) @@ -213,7 +243,9 @@ main: .word(0x28f1f9a3) .word(0xeab25f57) .word(0x6119d12b) +#if !defined(PULP) .word(0x277afa7b) +#endif .word(0x7f509513) .word(0x704bb0fb) .word(0x469e358b) @@ -229,7 +261,9 @@ main: .word(0x4a875a57) .word(0xfa7f586b) .word(0xd4c24a1b) +#if !defined(PULP) .word(0xe7bfa2db) +#endif .word(0xc7108153) .word(0xad46a6d3) .word(0xc3edd3a7) @@ -243,7 +277,9 @@ main: .word(0x0ff33053) .word(0x059bcc6b) .word(0x0f93b2fb) +#if !defined(PULP) .word(0xb839817b) +#endif .word(0xd06bb91b) .word(0x39179387) .word(0x372d42ab) @@ -267,7 +303,9 @@ main: .word(0x339c0e1b) .word(0xd52aac6b) .word(0x106f6f23) +#if !defined(PULP) .word(0x8d493aab) +#endif .word(0xe9090077) .word(0xd6432abb) .word(0x97fa3d6b) @@ -287,13 +325,17 @@ main: .word(0x5ab0fa33) .word(0xe5d8b0f7) .word(0x3e922dfb) +#if !defined(PULP) .word(0x0d208bfb) +#endif .word(0x5b490573) .word(0xf86e212f) .word(0x23ebb823) .word(0x719a4ce7) .word(0x69fd8ebb) +#if !defined(PULP) .word(0xb284740b) +#endif .word(0xb28744f7) .word(0x713f5193) .word(0x16bd7cbb) @@ -342,24 +384,34 @@ main: .word(0x8704247b) .word(0x38cdac1b) .word(0xe040c5f3) +#if !defined(PULP) .word(0x1ca7f5fb) +#endif .word(0x3f4d99bb) .word(0x43bc00bb) .word(0x8f0a6d53) .word(0xa80acb1b) .word(0x759c4cf3) .word(0xee71e7a7) +#if !defined(PULP) .word(0x0415e58b) +#endif +#if !defined(PULP) .word(0xcbe1ad5b) +#endif .word(0x2e262377) +#if !defined(PULP) .word(0x087293fb) +#endif .word(0x128e3a9b) .word(0xe54743e7) .word(0x8ae6f9a3) .word(0x87de6127) .word(0xcfbe01eb) .word(0xb6a2756b) +#if !defined(PULP) .word(0xcdbef30b) +#endif .word(0x46ad85c3) .word(0x8e2058b3) .word(0xb6794f6b) @@ -372,7 +424,9 @@ main: .word(0x891238f7) .word(0x969618d3) .word(0xfa0f74eb) +#if !defined(PULP) .word(0x1510ea8b) +#endif .word(0x9873d367) .word(0x90009d07) .word(0xcf7d32f7) @@ -401,7 +455,9 @@ main: .word(0xeec8c34b) .word(0x0f547ff7) .word(0xed277853) +#if !defined(PULP) .word(0x45387b7b) +#endif .word(0x42c3571b) .word(0x582670d3) .word(0xad27852f) @@ -454,7 +510,9 @@ main: .word(0xafb03057) .word(0x8a1f15a7) .word(0x808b50af) +#if !defined(PULP) .word(0x4a12f67b) +#endif .word(0x3779193b) .word(0x27977187) .word(0xc44e809b) @@ -512,7 +570,9 @@ main: .word(0x1b37ff2f) .word(0x0fd85eaf) .word(0xa61a0043) +#if !defined(PULP) .word(0xb6e5e38b) +#endif .word(0x79ee8557) .word(0x3588fef7) .word(0x5c9b7427) @@ -539,7 +599,9 @@ main: .word(0xb70aed9b) .word(0x9df7187b) .word(0x3d2d7d83) +#if !defined(PULP) .word(0xf26a7e8b) +#endif .word(0x460ef247) .word(0x507b378b) .word(0xc7e781f7) @@ -551,7 +613,9 @@ main: .word(0x82f7f2a3) .word(0xa60861a7) .word(0x72f730eb) +#if !defined(PULP) .word(0x4b88ef7b) +#endif .word(0x6e81d0a3) .word(0x13e9ea2b) .word(0x1403d393) @@ -648,7 +712,9 @@ main: .word(0x5fbc6223) .word(0xe6fff2af) .word(0x2123d2f7) +#if !defined(PULP) .word(0x33a5eafb) +#endif .word(0x589451d3) .word(0xfab45113) .word(0xa6885123) @@ -783,7 +849,9 @@ main: .word(0x113422eb) .word(0xc3fb613b) .word(0x199d6fa3) +#if !defined(PULP) .word(0x05a1e48b) +#endif .word(0xf77529d7) .word(0x5674c4c7) .word(0x02a054bb) @@ -791,17 +859,23 @@ main: .word(0x8dc83ee7) .word(0x0e53ff27) .word(0x0bf8ceab) +#if !defined(PULP) .word(0x827df0fb) +#endif .word(0xb3e6722b) .word(0xf325e6a7) .word(0xd51d5f77) .word(0x6f4c0ff3) +#if !defined(PULP) .word(0x6d26a85b) +#endif .word(0xf1a3e63b) .word(0xa0b07777) .word(0xa1111913) .word(0xa02e6b77) +#if !defined(PULP) .word(0x67e1325b) +#endif .word(0x9c4ba99b) .word(0x2de3ff67) .word(0xb12e87b3) @@ -840,7 +914,9 @@ main: .word(0xbe8a7d2b) .word(0xf18b1457) .word(0x3b63f42f) +#if !defined(PULP) .word(0xa88af10b) +#endif .word(0x6edeb3cf) .word(0x66ec0ef3) .word(0x2b47957b) @@ -896,7 +972,9 @@ main: .word(0x4dab0e53) .word(0xcb42d213) .word(0xaeddccd3) +#if !defined(PULP) .word(0x57fdaddb) +#endif .word(0x02b89457) .word(0xc6ee076b) .word(0x59e2b01b) @@ -951,8 +1029,12 @@ main: .word(0x301ad22b) .word(0x07b22bcb) .word(0x3b3d308b) +#if !defined(PULP) .word(0xf0bd7f8b) +#endif +#if !defined(PULP) .word(0x17a46a0b) +#endif .word(0x8c7b0dfb) .word(0xaeb8e73b) .word(0xc0a38b6b) @@ -1006,7 +1088,9 @@ main: .word(0x9f27accf) .word(0x86c26143) .word(0x7b7a93fb) +#if !defined(PULP) .word(0x5d57ba2b) +#endif .word(0xf966712f) .word(0xf6beb17b) .word(0x3667a47b) @@ -1022,7 +1106,9 @@ main: .word(0xe6d98cd3) .word(0x2aafd71b) .word(0x302889f3) +#if !defined(PULP) .word(0x6195667b) +#endif .word(0x2cd4b703) .word(0x0d5547e7) .word(0xf9ee0007) @@ -1060,9 +1146,13 @@ main: .word(0xbc1a0fd3) .word(0x4fbd0473) .word(0xaa2f70e7) +#if !defined(PULP) .word(0x4f8ce58b) +#endif .word(0x6f8b4ec3) +#if !defined(PULP) .word(0x6d3ae80b) +#endif .word(0x15d71be7) .word(0x663934b3) .word(0xc528fa33) @@ -1078,7 +1168,9 @@ main: .word(0xe7b8114f) .word(0x652a4287) .word(0xa139f2b3) +#if !defined(PULP) .word(0x3debf27b) +#endif .word(0x86a4c953) .word(0x5253da07) .word(0x5de573f7) @@ -1115,8 +1207,12 @@ main: .word(0xe54ec3f7) .word(0x2dc31267) .word(0x5beeed67) +#if !defined(PULP) .word(0xebc838db) +#endif +#if !defined(PULP) .word(0x1cd1ee8b) +#endif .word(0xbc20d0bb) .word(0x4a01e067) .word(0x14677303) @@ -1127,9 +1223,13 @@ main: .word(0xc2ba13a7) .word(0x1944c723) .word(0x08580e77) +#if !defined(PULP) .word(0xe55aacdb) +#endif .word(0x7ed5a2cf) +#if !defined(PULP) .word(0x066e7c0b) +#endif .word(0x226fa69b) .word(0x71e813eb) .word(0x59692e7b) @@ -1139,21 +1239,27 @@ main: .word(0x840aa8f7) .word(0xf95fe167) .word(0x37a970af) +#if !defined(PULP) .word(0x68997f7b) +#endif .word(0x800c0a87) .word(0x33c13277) .word(0x9d0e5dbb) .word(0xa075ed1b) .word(0xec8e7ea7) .word(0x44b9c7eb) +#if !defined(PULP) .word(0x9987770b) +#endif .word(0x5c25956b) .word(0xba074a07) .word(0x8fa1d6cb) .word(0xb2e760a7) .word(0x0e5cb7b3) .word(0x8f578bbb) +#if !defined(PULP) .word(0x3d9fc7ab) +#endif .word(0x202bc9af) .word(0x69f44d73) .word(0x2a085af7) @@ -1183,7 +1289,9 @@ main: .word(0x1752623b) .word(0x343eed2b) .word(0x7dbc5d53) +#if !defined(PULP) .word(0x44a8f28b) +#endif .word(0xb7da8f53) .word(0x1a3f9cf7) .word(0x4dce76bb) @@ -1214,14 +1322,18 @@ main: .word(0x12dbd4a3) .word(0x09ce5a6b) .word(0x22afbe83) +#if !defined(PULP) .word(0xe13b1afb) +#endif .word(0x4a444a33) .word(0xede6c7f3) .word(0x435a7527) .word(0xa6814467) .word(0xb698b11b) .word(0xcc6864a3) +#if !defined(PULP) .word(0x219c63fb) +#endif .word(0xb7ea9d4f) .word(0x1ee7a6b3) .word(0xe3d0906b) @@ -1245,7 +1357,9 @@ main: .word(0x3c7f4533) .word(0x541ad713) .word(0xad671dd3) +#if !defined(PULP) .word(0xe219670b) +#endif .word(0xfc495033) .word(0xadda3367) .word(0xb1ba9777) @@ -1318,8 +1432,12 @@ main: .word(0xfe80eb77) .word(0x6afa6267) .word(0x9e43d947) +#if !defined(PULP) .word(0x1a57787b) +#endif +#if !defined(PULP) .word(0x80b17e0b) +#endif .word(0x5ab4c553) .word(0x8ce2a42f) .word(0xf69a504f) @@ -1338,7 +1456,9 @@ main: .word(0x1d207b27) .word(0xd18fa5e7) .word(0x874d46f7) +#if !defined(PULP) .word(0x59d502fb) +#endif .word(0x8ed2957b) .word(0x1dfbd107) .word(0xb38e593b) @@ -1372,7 +1492,9 @@ main: .word(0x4eed37eb) .word(0xb8c011bb) .word(0x9ce81f1b) +#if !defined(PULP) .word(0x8baee57b) +#endif .word(0x91329227) .word(0xe74b67e7) .word(0x16a03a83) @@ -1383,13 +1505,17 @@ main: .word(0xe297726b) .word(0x7739e477) .word(0x75b6dbbb) +#if !defined(PULP) .word(0xda7b760b) +#endif .word(0xf92553f7) .word(0xda2cc0eb) .word(0xa5221513) .word(0x968aea67) .word(0x587f74a7) +#if !defined(PULP) .word(0x19df1cfb) +#endif .word(0x84ae49f3) .word(0xbc56ef53) .word(0x31ef6c87) @@ -1406,7 +1532,9 @@ main: .word(0xe6e92bcb) .word(0xc368ce27) .word(0xb0fd14f7) +#if !defined(PULP) .word(0x75e4ea8b) +#endif .word(0x716e9a6b) .word(0x572476c7) .word(0x6e11cd67) @@ -1445,10 +1573,14 @@ main: .word(0xd95735d3) .word(0x2ecf2d1b) .word(0x0fc3ef07) +#if !defined(PULP) .word(0x7f0c39db) +#endif .word(0xed2e201b) .word(0x673b9653) +#if !defined(PULP) .word(0x1580718b) +#endif .word(0xc6de8cfb) .word(0x73b1ebf7) .word(0x88aa7fa7) @@ -1460,7 +1592,9 @@ main: .word(0xbbb2ca23) .word(0x551cf403) .word(0xed09e1b3) +#if !defined(PULP) .word(0x3473f87b) +#endif .word(0x35fe7903) .word(0x143cb1fb) .word(0xe39d493b) @@ -1472,7 +1606,9 @@ main: .word(0xeb65ca3b) .word(0x5a483203) .word(0x6253c2af) +#if !defined(PULP) .word(0xd443f50b) +#endif .word(0x7f76a9cb) .word(0xc7acd157) .word(0xd9ee9d27) @@ -1484,7 +1620,9 @@ main: .word(0x8addf323) .word(0x53dcfe3b) .word(0xc7b5c3d3) +#if !defined(PULP) .word(0xab89eb8b) +#endif .word(0x82ea3f53) .word(0x7a0b709b) .word(0xe21ff12f) @@ -1500,7 +1638,9 @@ main: .word(0x91ab9d77) .word(0xa246b5e7) .word(0x0f602577) +#if !defined(PULP) .word(0x358f0e7b) +#endif .word(0x0f3227f7) .word(0x29b56a3b) .word(0x6acd576b) @@ -1517,7 +1657,9 @@ main: .word(0x066f642f) .word(0xaf01a1eb) .word(0x7a2a8057) +#if !defined(PULP) .word(0xe270ef0b) +#endif .word(0xc1f37d53) .word(0xff487187) .word(0x3df67507) @@ -1553,7 +1695,9 @@ main: .word(0xfee264d3) .word(0xefe8693b) .word(0xed3fa1d3) +#if !defined(PULP) .word(0xbeb46f8b) +#endif .word(0x52e11ab3) .word(0x399accbb) .word(0xbbb6d227) @@ -1572,17 +1716,23 @@ main: .word(0xbb825dd3) .word(0xf4e159bb) .word(0xb88b561b) +#if !defined(PULP) .word(0x443f117b) +#endif .word(0x756a251b) .word(0x96e28a9b) .word(0x598419f7) .word(0xfc051307) .word(0x2b000ceb) .word(0xb69ddcd3) +#if !defined(PULP) .word(0x7d1933db) +#endif .word(0x2d3a15e7) .word(0x2ddfe8af) +#if !defined(PULP) .word(0x533af80b) +#endif .word(0xcde40cfb) .word(0x26513f8b) .word(0x50a1a677) @@ -1597,7 +1747,9 @@ main: .word(0xcb8ffa53) .word(0xe41d8657) .word(0x93fbb13b) +#if !defined(PULP) .word(0x53097c0b) +#endif .word(0x2b3ca4eb) .word(0x9e6bc86b) .word(0xe3a24aa7) @@ -1646,7 +1798,9 @@ main: .word(0x62540a07) .word(0xaafe0073) .word(0x4ea5bec7) +#if !defined(PULP) .word(0x51ea86fb) +#endif .word(0xcd75d2b3) .word(0x9807e8eb) .word(0x7b2f52d3) @@ -1658,7 +1812,9 @@ main: .word(0x8e0b6e43) .word(0x77dd1ee7) .word(0x2fe6e853) +#if !defined(PULP) .word(0xeb517b8b) +#endif .word(0x58e641d3) .word(0x031976bb) .word(0x7238a033) @@ -1676,13 +1832,17 @@ main: .word(0x9c6a2cfb) .word(0xcbe03de7) .word(0x49b1a02f) +#if !defined(PULP) .word(0x393eb72b) +#endif .word(0x812f592b) .word(0xdaa22c3b) .word(0x5653f707) .word(0xa5921e13) .word(0x5b5782a7) +#if !defined(PULP) .word(0xc113618b) +#endif .word(0xb851fd33) .word(0x43addc87) .word(0x477f6f47) @@ -1699,7 +1859,9 @@ main: .word(0x1f0a383b) .word(0xb80a3a0b) .word(0x192fbff7) +#if !defined(PULP) .word(0x64412f5b) +#endif .word(0xe4ff7dfb) .word(0x984c31fb) .word(0xbcc6beab) @@ -1710,7 +1872,9 @@ main: .word(0x619d671b) .word(0xe6601d93) .word(0x486a310b) +#if !defined(PULP) .word(0x03f1757b) +#endif .word(0x24f37eb3) .word(0x1793efc7) .word(0x28dc4ab3) @@ -1728,7 +1892,9 @@ main: .word(0x113f84b3) .word(0xac01f227) .word(0xe292fc67) +#if !defined(PULP) .word(0xc134f08b) +#endif .word(0x45470a33) .word(0x07918d77) .word(0x7ff49a27) @@ -1737,7 +1903,9 @@ main: .word(0xb55ef803) .word(0xaafff9eb) .word(0x727b7c7b) +#if !defined(PULP) .word(0xbb17670b) +#endif .word(0xf9bb2ed3) .word(0x642ed7bb) .word(0xe7a613d7) @@ -1757,7 +1925,9 @@ main: .word(0xc3d8d4d3) .word(0x2a4aaf9b) .word(0xe67038ab) +#if !defined(PULP) .word(0xa2136c8b) +#endif .word(0xa99f5f6b) .word(0x85da80f3) .word(0xee98d5d7) @@ -1779,7 +1949,9 @@ main: .word(0x781ec433) .word(0x8e16b4f7) .word(0x6038fea3) +#if !defined(PULP) .word(0x1d8be77b) +#endif .word(0x13d10727) .word(0x8e67e77b) .word(0x140583f3) @@ -1805,7 +1977,9 @@ main: .word(0x9e0127eb) .word(0x1403b62f) .word(0x062490d7) +#if !defined(PULP) .word(0x04430f7b) +#endif .word(0x32b18fbb) .word(0x7c01a477) .word(0x52169713) @@ -1886,7 +2060,9 @@ main: .word(0x743815a7) .word(0xe698bdcf) .word(0x9c9865a7) +#if !defined(PULP) .word(0x2a04ea7b) +#endif .word(0x141c0973) .word(0x62db3c8b) .word(0xa3c6a6bb) @@ -1896,7 +2072,9 @@ main: .word(0x2249f377) .word(0xb1decd6b) .word(0xc600b4d7) +#if !defined(PULP) .word(0xbb3610fb) +#endif .word(0xbb59339b) .word(0xf39e5f27) .word(0xb7b8f8a3) @@ -1916,7 +2094,9 @@ main: .word(0xd2266e27) .word(0xe4cab323) .word(0x5ce3f983) +#if !defined(PULP) .word(0x69607f0b) +#endif .word(0x93a6d393) .word(0x9e134c43) .word(0x4b9aae9b) @@ -1928,13 +2108,17 @@ main: .word(0x490ce1e7) .word(0x28bd2c77) .word(0x3bfbe1b3) +#if !defined(PULP) .word(0x56ccfb0b) +#endif .word(0x8cc94be7) .word(0xc604eca7) .word(0x0a9e15b3) .word(0xd8644c07) .word(0xc6b07a27) +#if !defined(PULP) .word(0x758b718b) +#endif .word(0xb0b28c9b) .word(0x4c93ca1b) .word(0xdf0812c7) @@ -1963,12 +2147,16 @@ main: .word(0x3601714b) .word(0x48ab4587) .word(0xba9b4973) +#if !defined(PULP) .word(0x7408325b) +#endif .word(0x1ab16fa7) .word(0x8328a053) .word(0xda89eef7) .word(0x9ac189eb) +#if !defined(PULP) .word(0x7314baab) +#endif .word(0xe2bcea77) .word(0xd7678257) .word(0x30fa9e93) @@ -1978,7 +2166,9 @@ main: .word(0x4fed5ec7) .word(0x96eb843b) .word(0xaec3691b) +#if !defined(PULP) .word(0x78bf700b) +#endif .word(0xf505dfe7) .word(0xac9786a7) .word(0xe895e0eb) @@ -1989,7 +2179,9 @@ main: .word(0x5542af33) .word(0x8668c2c3) .word(0xf99c4457) +#if !defined(PULP) .word(0x4160342b) +#endif .word(0x6b2a49ab) .word(0x8e7ba0cf) .word(0xad18e82f) @@ -2025,12 +2217,16 @@ main: .word(0x7dec4ab3) .word(0x1de3377b) .word(0xc23fcb67) +#if !defined(PULP) .word(0x4b5529db) +#endif .word(0x62f38ca7) .word(0x3ad2e26b) .word(0xae23a36b) .word(0x50bfaf67) +#if !defined(PULP) .word(0x8094867b) +#endif .word(0x51f35c07) .word(0x2e5c73cb) .word(0x3af33c3b) @@ -2054,12 +2250,16 @@ main: .word(0xe26f7b33) .word(0xd8feb4bb) .word(0xad885f13) +#if !defined(PULP) .word(0x70ee770b) +#endif .word(0xf0069eeb) .word(0x869ba7eb) .word(0xbb13edf7) .word(0x0d66c7f7) +#if !defined(PULP) .word(0x72e130db) +#endif .word(0x0ad0823b) .word(0x12668627) .word(0x8fe27e2b) @@ -2082,7 +2282,9 @@ main: .word(0xf8545823) .word(0xfda73877) .word(0x1ee662d3) +#if !defined(PULP) .word(0x9908e18b) +#endif .word(0xd4e99b1b) .word(0x6cf1fb7b) .word(0x046db83b) @@ -2092,7 +2294,9 @@ main: .word(0x4f11254f) .word(0xc226b1d3) .word(0x949070b3) +#if !defined(PULP) .word(0x4623e47b) +#endif .word(0xa6c4482f) .word(0x37b4c3cf) .word(0x59e236eb) @@ -2102,7 +2306,9 @@ main: .word(0x73eb201b) .word(0x39ecde67) .word(0x66eb101b) +#if !defined(PULP) .word(0x5923be2b) +#endif .word(0xe63d32fb) .word(0x9a629713) .word(0x43ce4e23) @@ -2154,12 +2360,16 @@ main: .word(0x82e5d13b) .word(0x37057da7) .word(0x5c69dd57) +#if !defined(PULP) .word(0x505c600b) +#endif .word(0x0b05c673) .word(0x4f254c1b) .word(0xd02cf777) .word(0xd70d292f) +#if !defined(PULP) .word(0x557f617b) +#endif .word(0x34b37883) .word(0x2f0f24b3) .word(0xc531f2e7) @@ -2201,11 +2411,15 @@ main: .word(0xcf2ce5a7) .word(0x3e09be3b) .word(0x740a229b) +#if !defined(PULP) .word(0x75cae1fb) +#endif .word(0x22ced5a3) .word(0x734fd9bb) .word(0xe7c767c7) +#if !defined(PULP) .word(0x74fae57b) +#endif .word(0x89e9d7f7) .word(0x3f21e4cf) .word(0x411f61b3) @@ -2215,16 +2429,22 @@ main: .word(0x25c69ae7) .word(0xae6e7c07) .word(0xfa50cdab) +#if !defined(PULP) .word(0x0013e58b) +#endif .word(0x33ffd253) +#if !defined(PULP) .word(0xe2bc608b) +#endif .word(0x6188a053) .word(0xb1f40d6b) .word(0xddc9242f) .word(0x7883d1d3) .word(0x98714b53) .word(0xb406cf23) +#if !defined(PULP) .word(0x765ffc0b) +#endif .word(0x91ef143b) .word(0x234cae77) .word(0x9b403baf) @@ -2236,7 +2456,9 @@ main: .word(0x4c097a77) .word(0x921ebb1b) .word(0x13e9e42b) +#if !defined(PULP) .word(0x6872395b) +#endif .word(0xdc90b96b) .word(0xe6de9b53) .word(0x33f7c3d3) @@ -2336,12 +2558,16 @@ main: .word(0x1899ac6b) .word(0x2eef022f) .word(0x0e571077) +#if !defined(PULP) .word(0x3f0c778b) +#endif .word(0x1def0d27) .word(0x5d6760a7) .word(0x4a5ef767) .word(0x26529947) +#if !defined(PULP) .word(0xf84a127b) +#endif .word(0x9648d1b3) .word(0x44b5ad1b) .word(0xf8f11593) @@ -2395,7 +2621,9 @@ main: .word(0x679d7f23) .word(0xef0d5f57) .word(0xe83f9067) +#if !defined(PULP) .word(0x5deb2cdb) +#endif .word(0xc6916443) .word(0xe50f7f03) .word(0x449266ab) @@ -2403,7 +2631,9 @@ main: .word(0xf60f9be7) .word(0x71a815a7) .word(0x271d3aaf) +#if !defined(PULP) .word(0x5637227b) +#endif .word(0x769df59b) .word(0xe3a6102f) .word(0x4745b27b) @@ -2475,7 +2705,9 @@ main: .word(0xb4a001eb) .word(0x6d1dff67) .word(0x881f7933) +#if !defined(PULP) .word(0x56b30cfb) +#endif .word(0xd87ec72f) .word(0x69d28e9b) .word(0x80215e9b) @@ -2494,7 +2726,9 @@ main: .word(0xc00b7167) .word(0xa703f9f7) .word(0xd5be0377) +#if !defined(PULP) .word(0xe6ab770b) +#endif .word(0x471128cf) .word(0xbfa2736b) .word(0xbe49ea27) @@ -2544,7 +2778,9 @@ main: .word(0x58345f27) .word(0x0f15f0a3) .word(0xe7befccb) +#if !defined(PULP) .word(0xfb69afdb) +#endif .word(0x2e5e6523) .word(0x1e4f2e47) .word(0x93b7f5d3) @@ -2552,7 +2788,9 @@ main: .word(0xe3d61c87) .word(0x8676b553) .word(0x9745b3cf) +#if !defined(PULP) .word(0x6f74365b) +#endif .word(0xdfbbf2eb) .word(0x616dd8d3) .word(0xea437687) @@ -2588,7 +2826,9 @@ main: .word(0x96961453) .word(0xddd475af) .word(0x74c0c91b) +#if !defined(PULP) .word(0x73b9670b) +#endif .word(0xb67d529b) .word(0x7b918c53) .word(0x3589bca3) @@ -2608,8 +2848,12 @@ main: .word(0x6c29b453) .word(0x82a5036b) .word(0x5c934067) +#if !defined(PULP) .word(0x7d50760b) +#endif +#if !defined(PULP) .word(0x3150f4fb) +#endif .word(0x2ecb3d47) .word(0x61afff23) .word(0x92955427) @@ -2623,24 +2867,34 @@ main: .word(0x3ba93cd3) .word(0x830b07b3) .word(0xae36bb8b) +#if !defined(PULP) .word(0x2d4768fb) +#endif .word(0x09d684af) .word(0x817564f7) +#if !defined(PULP) .word(0x4da0f77b) +#endif .word(0xdbe45c77) +#if !defined(PULP) .word(0x8ba6e18b) +#endif .word(0xc68b5fa3) .word(0x237af5a7) .word(0xfdc2e6a7) .word(0x3af9f7f7) .word(0x5573767b) +#if !defined(PULP) .word(0x3503688b) +#endif .word(0x609f55f7) .word(0x4657dc23) .word(0x2022a877) .word(0x02e0b483) .word(0xd9f3b03b) +#if !defined(PULP) .word(0xa8d07b0b) +#endif .word(0xd248a2fb) .word(0x8b720e07) .word(0xc5b021e7) @@ -2753,7 +3007,9 @@ main: .word(0xec209093) .word(0x3178353b) .word(0xb42502b3) +#if !defined(PULP) .word(0xc58620db) +#endif .word(0xe6bb2877) .word(0xba399627) .word(0xb03f79eb) @@ -2773,7 +3029,9 @@ main: .word(0x87f46627) .word(0xbf74dc2b) .word(0x37959943) +#if !defined(PULP) .word(0x7a997d0b) +#endif .word(0xa541f8af) .word(0xbf8d390b) .word(0xca62be3b) @@ -2787,26 +3045,36 @@ main: .word(0x741013d3) .word(0x3f3a1843) .word(0x51c3782f) +#if !defined(PULP) .word(0x5207eb7b) +#endif .word(0xb27bd92b) .word(0x665532cf) .word(0xca7ea06b) +#if !defined(PULP) .word(0x81423dab) +#endif .word(0xd565a39b) .word(0x036a1ceb) +#if !defined(PULP) .word(0x2f49e7fb) +#endif .word(0x8abdff83) .word(0xb785bebb) .word(0x7eb11987) .word(0x3056fbe7) +#if !defined(PULP) .word(0xff8b6d8b) +#endif .word(0x5db7443b) .word(0xcd0e3c67) .word(0x650ece2f) .word(0xe5b99593) .word(0x7611ea43) .word(0x3e5b7907) +#if !defined(PULP) .word(0x0b16f38b) +#endif .word(0x885eb0eb) .word(0x3052e7bb) .word(0xbbd2b2e7) @@ -2848,7 +3116,9 @@ main: .word(0x565d713b) .word(0x2e9b76a3) .word(0xe3012eeb) +#if !defined(PULP) .word(0x8054f37b) +#endif .word(0xbc8a5733) .word(0xdcd228d3) .word(0x82888953) @@ -2877,7 +3147,9 @@ main: .word(0xc1a45977) .word(0xddf77d07) .word(0xf91ac927) +#if !defined(PULP) .word(0xed5a36db) +#endif .word(0x08f9c5e7) .word(0x09189e67) .word(0x0a464f6b) @@ -2931,7 +3203,9 @@ main: .word(0x1792c4c3) .word(0x0377f92f) .word(0x15fca23b) +#if !defined(PULP) .word(0x1a07710b) +#endif .word(0xd809803b) .word(0xf6ef302b) .word(0x40ca4af7) @@ -2983,7 +3257,9 @@ main: .word(0x854a26f7) .word(0xb6efa3af) .word(0x3c9fef77) +#if !defined(PULP) .word(0xfb5b325b) +#endif .word(0x660d70c3) .word(0x3bd9b56b) .word(0xddcf616b) @@ -2991,14 +3267,20 @@ main: .word(0x9632d453) .word(0xe95250eb) .word(0x32ca24af) +#if !defined(PULP) .word(0xa181770b) +#endif .word(0xe91fcee7) +#if !defined(PULP) .word(0xd44a385b) +#endif .word(0x8b16c087) .word(0x40db0d53) .word(0x91b133b3) .word(0xc099d9af) +#if !defined(PULP) .word(0x4236aadb) +#endif .word(0xd7cda9e7) .word(0xfa6749ab) .word(0xe690863b) @@ -3007,7 +3289,9 @@ main: .word(0xc24df0f7) .word(0x1d929c87) .word(0x2fdbe707) +#if !defined(PULP) .word(0xf52e2ddb) +#endif .word(0xefe0d66b) .word(0x8806c4d3) .word(0x7a0b42b3) @@ -3018,14 +3302,20 @@ main: .word(0x60a29913) .word(0x900ee6a7) .word(0xc09c4273) +#if !defined(PULP) .word(0x78f6f08b) +#endif .word(0x80449107) .word(0x6f623403) .word(0x00b30377) .word(0x7e57712f) +#if !defined(PULP) .word(0x465b22db) +#endif .word(0x7579de07) +#if !defined(PULP) .word(0x29547dfb) +#endif .word(0xa342c687) .word(0xe96bef3b) .word(0x7763f8a3) @@ -3046,7 +3336,9 @@ main: .word(0x1673b2cb) .word(0x401a5bf7) .word(0x11484c33) +#if !defined(PULP) .word(0x2984f9fb) +#endif .word(0x46096b27) .word(0x85418bd7) .word(0xdbb8be9b) @@ -3057,7 +3349,9 @@ main: .word(0x5088bd33) .word(0x912795e7) .word(0x467311af) +#if !defined(PULP) .word(0x128be2fb) +#endif .word(0x1affe06b) .word(0xd97c7bf7) .word(0xa7abb22b) @@ -3092,7 +3386,9 @@ main: .word(0xb690141b) .word(0x47866b33) .word(0x79e55333) +#if !defined(PULP) .word(0x715f38ab) +#endif .word(0x95754a6b) .word(0xb634083b) .word(0x35d4f4af) @@ -3106,15 +3402,21 @@ main: .word(0x794fe777) .word(0x5ce87af7) .word(0x7c537da3) +#if !defined(PULP) .word(0x2feffefb) +#endif .word(0xef31d423) .word(0x29c4f307) +#if !defined(PULP) .word(0xf02c7b8b) +#endif .word(0x0e5230e7) .word(0x26b50ecf) .word(0x71fd3b67) .word(0xccab1d87) +#if !defined(PULP) .word(0xbef2e50b) +#endif .word(0x53d04707) .word(0x7f15ecc7) .word(0x7eb65e4b) @@ -3127,7 +3429,9 @@ main: .word(0x2bafe4eb) .word(0x77fc5857) .word(0xffffef9b) +#if !defined(PULP) .word(0x996919fb) +#endif .word(0xdf987747) .word(0x65d72e1b) .word(0xd6ba0b2f) @@ -3164,7 +3468,9 @@ main: .word(0x0e9fdac3) .word(0x2751fccf) .word(0x60fd352f) +#if !defined(PULP) .word(0xf8d0937b) +#endif .word(0xdef19f47) .word(0x4ddfbfaf) .word(0x5e57ec57) @@ -3194,7 +3500,9 @@ main: .word(0xaa5e7a27) .word(0x1d316787) .word(0x37423303) +#if !defined(PULP) .word(0x187fe20b) +#endif .word(0xc2920c87) .word(0xad563c0b) .word(0x78d35c1b) @@ -3224,10 +3532,14 @@ main: .word(0x8d2a98eb) .word(0xbbb119d7) .word(0x22389787) +#if !defined(PULP) .word(0x2460f50b) +#endif .word(0xcd4533fb) .word(0x5fb4686b) +#if !defined(PULP) .word(0x043efafb) +#endif .word(0xd6dc90d7) .word(0xeb7d331b) .word(0xf226e5d3) @@ -3242,10 +3554,14 @@ main: .word(0x363104d7) .word(0xc5907577) .word(0x4ca53d23) +#if !defined(PULP) .word(0x405bc72b) +#endif .word(0x0ba603fb) .word(0x23dc9107) +#if !defined(PULP) .word(0x84e5362b) +#endif .word(0x90bf9513) .word(0x92749bf7) .word(0x7a46d4a3) @@ -3256,7 +3572,9 @@ main: .word(0x233c5eaf) .word(0xce11c6d3) .word(0x11ab3223) +#if !defined(PULP) .word(0xeeb334db) +#endif .word(0x148b5727) .word(0x552b56f7) .word(0x5afaf5bb) @@ -3277,7 +3595,9 @@ main: .word(0x2fded2eb) .word(0xfd5cc12f) .word(0x21cc1867) +#if !defined(PULP) .word(0xa5fd7c0b) +#endif .word(0x6ce1bb23) .word(0xb389062f) .word(0xc16adb77) @@ -3338,14 +3658,18 @@ main: .word(0x94cb1a57) .word(0xced1aebb) .word(0x7278e677) +#if !defined(PULP) .word(0x18461bfb) +#endif .word(0x634db623) .word(0xf515373b) .word(0xc0595c27) .word(0x9d1d253b) .word(0x2051be8b) .word(0xe0db881b) +#if !defined(PULP) .word(0x1aaefc0b) +#endif .word(0x760b60ab) .word(0x0bb950ab) .word(0x1edc2657) @@ -3355,7 +3679,9 @@ main: .word(0x079d84fb) .word(0x42976467) .word(0x3ce0e42b) +#if !defined(PULP) .word(0x78db245b) +#endif .word(0x732b2c3b) .word(0x19a5c39b) .word(0xcc9b11d7) @@ -3368,7 +3694,9 @@ main: .word(0x7cf4f57b) .word(0x5dc819d7) .word(0xa5b8faa3) +#if !defined(PULP) .word(0x50a2a4db) +#endif .word(0x6add682f) .word(0xa89c6727) .word(0xba6510e7) @@ -3437,14 +3765,18 @@ main: .word(0xf0b5871b) .word(0x9d8df1ab) .word(0x6306586b) +#if !defined(PULP) .word(0x5eff275b) +#endif .word(0xfa1ffe1b) .word(0x82ba5b2b) .word(0x7e768cf7) .word(0x24ab051b) .word(0x18e7e3f7) .word(0xbcee73fb) +#if !defined(PULP) .word(0x6b6fa85b) +#endif .word(0x24093577) .word(0xc5ccf8bb) .word(0xbd7d4427) @@ -3500,7 +3832,9 @@ main: .word(0xa4e5652b) .word(0x5f418c87) .word(0x2dc0d467) +#if !defined(PULP) .word(0x5867eafb) +#endif .word(0x402eb29b) .word(0x82527da3) .word(0xc9e8182f) @@ -3515,13 +3849,17 @@ main: .word(0x70f023fb) .word(0x7abb21af) .word(0xc3247eeb) +#if !defined(PULP) .word(0xfc65315b) +#endif .word(0x5e88c3eb) .word(0x67f785c7) .word(0xd87e75bb) .word(0xabfe8dfb) .word(0x53bfd857) +#if !defined(PULP) .word(0x02b066fb) +#endif .word(0x7e7d73c7) .word(0x835b353b) .word(0xeb729b87) @@ -3536,9 +3874,13 @@ main: .word(0x20a89387) .word(0x00f8cb77) .word(0x15374533) +#if !defined(PULP) .word(0xf141a7db) +#endif .word(0x4928bebb) +#if !defined(PULP) .word(0x221233ab) +#endif .word(0x4e472a6b) .word(0xce7a7dd7) .word(0x24e81c93) @@ -3593,15 +3935,21 @@ main: .word(0x527815af) .word(0xc996b053) .word(0x57b253c7) +#if !defined(PULP) .word(0x7984678b) +#endif .word(0x19dcd2a3) .word(0xee0f532f) .word(0xe9d33267) .word(0x8d546ad3) .word(0x49026227) .word(0x60bc57eb) +#if !defined(PULP) .word(0xcee7728b) +#endif +#if !defined(PULP) .word(0x4c7ee60b) +#endif .word(0xc2715b27) .word(0x440eb2eb) .word(0x53768133) @@ -3617,7 +3965,9 @@ main: .word(0x7ea5b403) .word(0x62a12077) .word(0x409bde3b) +#if !defined(PULP) .word(0xd4d2e10b) +#endif .word(0x905f74f7) .word(0xec2e1ed7) .word(0x1cc268f7) @@ -3631,7 +3981,9 @@ main: .word(0xacef5c3b) .word(0x776438b3) .word(0x26b3bc67) +#if !defined(PULP) .word(0x41cf9d7b) +#endif .word(0x2123cd67) .word(0xd6ffc9c7) .word(0x7a71d993) @@ -3656,13 +4008,17 @@ main: .word(0xcf3679bb) .word(0xbc09bc2f) .word(0x7b4dbfe7) +#if !defined(PULP) .word(0xd3a2f20b) +#endif .word(0xefaf310b) .word(0xa599179b) .word(0xf60569c3) .word(0x046b6af7) .word(0x786502bb) +#if !defined(PULP) .word(0x0da0f0fb) +#endif .word(0x45335f23) .word(0xb8b3ea9b) .word(0xe544e22b) @@ -3670,7 +4026,9 @@ main: .word(0x893f53d3) .word(0x1e18dbd7) .word(0x3c5f5f07) +#if !defined(PULP) .word(0xaa096dfb) +#endif .word(0xe65516a7) .word(0xce4f36c3) .word(0xc766c6d3) @@ -3716,7 +4074,9 @@ main: .word(0x8a2e91fb) .word(0x0887e323) .word(0x3396afe7) +#if !defined(PULP) .word(0x4afeb75b) +#endif .word(0xf54325d3) .word(0x6430502b) .word(0xe99ff6bb) @@ -3842,7 +4202,9 @@ main: .word(0x9888cf1b) .word(0xfe604027) .word(0xe982d2b3) +#if !defined(PULP) .word(0x0451f08b) +#endif .word(0x875d8c1b) .word(0xa3852e3b) .word(0xbd6d2d9b) @@ -3862,7 +4224,9 @@ main: .word(0xd1ea722f) .word(0x65e9911b) .word(0x539a9aa7) +#if !defined(PULP) .word(0xeafe6d8b) +#endif .word(0x75f87757) .word(0x953497e7) .word(0xd733d8ab) @@ -3890,14 +4254,18 @@ main: .word(0x5d394933) .word(0x7c413b8b) .word(0xe22a9e2f) +#if !defined(PULP) .word(0xcd5f2cdb) +#endif .word(0x6ab1be77) .word(0x5a8dbefb) .word(0x56276787) .word(0xb802cc07) .word(0x6174ddb3) .word(0x09c62a9b) +#if !defined(PULP) .word(0x9868767b) +#endif .word(0x02545cab) .word(0xa1e7fcf7) .word(0x52fc9b93) @@ -3938,7 +4306,9 @@ main: .word(0x62011e7b) .word(0x5fb39ecf) .word(0x39f6421b) +#if !defined(PULP) .word(0xe002f98b) +#endif .word(0xe680b853) .word(0x913b171b) .word(0x9db88f33) @@ -3971,7 +4341,9 @@ main: .word(0x566cb9cf) .word(0x367b0443) .word(0x4533179b) +#if !defined(PULP) .word(0x2af8b2ab) +#endif .word(0x2ff2f31b) .word(0x25465727) .word(0x329ce5eb) @@ -3997,8 +4369,12 @@ main: .word(0x1096742b) .word(0x3c429da7) .word(0xaaddc0d3) +#if !defined(PULP) .word(0x1687fc8b) +#endif +#if !defined(PULP) .word(0xf2807c8b) +#endif .word(0x45d6f633) .word(0x321f5127) .word(0x876f969b) @@ -4007,7 +4383,9 @@ main: .word(0x97bb7ef7) .word(0x1b845be7) .word(0x06d40a73) +#if !defined(PULP) .word(0x1e106f7b) +#endif .word(0x69041db3) .word(0xb7ecc7eb) .word(0xd7041207) @@ -4024,7 +4402,9 @@ main: .word(0xa4eeee87) .word(0x4d0b34d3) .word(0x1f375fab) +#if !defined(PULP) .word(0x0a9e738b) +#endif .word(0x39f1f753) .word(0x08714467) .word(0xeb42e323) @@ -4038,7 +4418,9 @@ main: .word(0x7d86f7d7) .word(0xeef37f03) .word(0xf86673fb) +#if !defined(PULP) .word(0x6d1ce90b) +#endif .word(0xbed984eb) .word(0xd6113b2f) .word(0x74ff6477) @@ -4052,7 +4434,9 @@ main: .word(0x2858def7) .word(0x6d93742b) .word(0x9e41647b) +#if !defined(PULP) .word(0x01b6937b) +#endif .word(0x659542b3) .word(0x91295293) .word(0xf03d3c83) @@ -4073,7 +4457,9 @@ main: .word(0x0363e86b) .word(0xacb12a1b) .word(0xf9e91387) +#if !defined(PULP) .word(0x786ca65b) +#endif .word(0xe664eeeb) .word(0x073edc43) .word(0x1c45cca7) @@ -4086,13 +4472,17 @@ main: .word(0xb384702f) .word(0xb82d672f) .word(0x0612267b) +#if !defined(PULP) .word(0x5676322b) +#endif .word(0x653d6507) .word(0x9f53c81b) .word(0x2ae5d19b) .word(0xe6f72d57) .word(0x969736c3) +#if !defined(PULP) .word(0x74a1e6fb) +#endif .word(0xfde1f067) .word(0x5c89b0bb) .word(0xa634bf4b) @@ -4176,7 +4566,9 @@ main: .word(0x27d16f07) .word(0xbb1f40af) .word(0x761af503) +#if !defined(PULP) .word(0xe718ea8b) +#endif .word(0x8d01e827) .word(0xf8e5206b) .word(0x34fd0907) @@ -4243,7 +4635,9 @@ main: .word(0x78debc7b) .word(0xed7d403b) .word(0xd6dbb767) +#if !defined(PULP) .word(0x3f58618b) +#endif .word(0x5eb4d8c7) .word(0x2c2c901b) .word(0xafb536fb) @@ -4270,9 +4664,13 @@ main: .word(0x6fc2591b) .word(0xf7c34ccf) .word(0xce595767) +#if !defined(PULP) .word(0x745b2bfb) +#endif .word(0xd8184bbb) +#if !defined(PULP) .word(0xde0b6c8b) +#endif .word(0xc5283d2f) .word(0x14aad6af) .word(0x1c71f0eb) @@ -4308,7 +4706,9 @@ main: .word(0x424fc3af) .word(0xc08104bb) .word(0x770fc077) +#if !defined(PULP) .word(0x04c196fb) +#endif .word(0x887f0353) .word(0xf900839b) .word(0x3c4297d3) @@ -4324,13 +4724,17 @@ main: .word(0x9f87dd3b) .word(0xa06b4d77) .word(0x6f1f6387) +#if !defined(PULP) .word(0x6bb0325b) +#endif .word(0x14103583) .word(0xbcc47feb) .word(0x087e4677) .word(0x0123022f) .word(0xc5af412f) +#if !defined(PULP) .word(0x7e97e68b) +#endif .word(0x0dfb541b) .word(0x5172ec6b) .word(0xc2519cfb) @@ -4416,18 +4820,26 @@ main: .word(0x8f8ae1f7) .word(0xc76bf953) .word(0xb5787c53) +#if !defined(PULP) .word(0x776dec0b) +#endif .word(0x109f41eb) .word(0xd26adf23) .word(0x089c0387) .word(0xc0592a6b) +#if !defined(PULP) .word(0x3d9c8b7b) +#endif .word(0xebe11167) .word(0x74269d1b) .word(0xf46dddeb) .word(0x500efd6b) +#if !defined(PULP) .word(0x7366bb2b) +#endif +#if !defined(PULP) .word(0x551138ab) +#endif .word(0x560767a7) .word(0xd5a5cb57) .word(0x09fffb1b) @@ -4438,11 +4850,15 @@ main: .word(0xf0560ed7) .word(0x898790d3) .word(0xc609fecb) +#if !defined(PULP) .word(0xc53ef18b) +#endif .word(0x706944bb) .word(0xc3d8cff3) .word(0xa5f5c177) +#if !defined(PULP) .word(0xb8193dfb) +#endif .word(0xf5ed7157) .word(0x3c64e5b3) .word(0x99539727) @@ -4462,7 +4878,9 @@ main: .word(0x57c74843) .word(0x479669f7) .word(0xb7eea1fb) +#if !defined(PULP) .word(0x089076fb) +#endif .word(0x024b57eb) .word(0xa934f1a7) .word(0x89377fbb) @@ -4490,7 +4908,9 @@ main: .word(0x7371fb83) .word(0xc1d6c0f3) .word(0x74d7e427) +#if !defined(PULP) .word(0x989de07b) +#endif .word(0xf6c4358b) .word(0x8e57922f) .word(0x4a6ed957) @@ -4526,7 +4946,9 @@ main: .word(0x6bca01bb) .word(0x14385327) .word(0x8e026bbb) +#if !defined(PULP) .word(0x4d51462b) +#endif .word(0x019e8a73) .word(0xb6fb444f) .word(0x0f4e249b) @@ -4572,7 +4994,9 @@ main: .word(0x8caaf387) .word(0x7f077477) .word(0xd0a591eb) +#if !defined(PULP) .word(0x1805ec0b) +#endif .word(0x62854c1b) .word(0xfb4300a7) .word(0xba670173) @@ -4587,12 +5011,16 @@ main: .word(0x22ca2477) .word(0xac203e2b) .word(0x760cd733) +#if !defined(PULP) .word(0xc496ed8b) +#endif .word(0x639ba977) .word(0xed5092f7) .word(0xc035c207) .word(0x7ed2adfb) +#if !defined(PULP) .word(0x43abf20b) +#endif .word(0x949ea653) .word(0x21ca4653) .word(0xd09b5233) @@ -4665,7 +5093,9 @@ main: .word(0x85d6ff2b) .word(0xf2d02a53) .word(0xad7ae21b) +#if !defined(PULP) .word(0x55f3ab7b) +#endif .word(0x16621bbb) .word(0xd403306b) .word(0xdbef5fb3) @@ -4703,7 +5133,9 @@ main: .word(0x395baafb) .word(0xb9d38253) .word(0xc31f1753) +#if !defined(PULP) .word(0xa230e77b) +#endif .word(0xdc140577) .word(0xa5f67c2f) .word(0x95c1a3eb) @@ -4730,7 +5162,9 @@ main: .word(0x6ee46353) .word(0x6ba2891b) .word(0x16711a77) +#if !defined(PULP) .word(0x950cff0b) +#endif .word(0xf07255eb) .word(0xa07bf2e7) .word(0x678ae0d3) @@ -4752,7 +5186,9 @@ main: .word(0x8b98b8b3) .word(0x3f07a4d7) .word(0x1f6c60eb) +#if !defined(PULP) .word(0xc740ee8b) +#endif .word(0x5d29ecb3) .word(0xb00f9e87) .word(0x0e94762f) @@ -4767,7 +5203,9 @@ main: .word(0x03963e8b) .word(0x0ee3c4af) .word(0x46c8ea67) +#if !defined(PULP) .word(0xa3dac6ab) +#endif .word(0xf921d1af) .word(0xd2fc5dab) .word(0xe98d52d3) @@ -4790,7 +5228,9 @@ main: .word(0x1c5a052f) .word(0xf564cc3b) .word(0x91e371a3) +#if !defined(PULP) .word(0x61f9e77b) +#endif .word(0x2c4bb153) .word(0x4b0b196b) .word(0xe498559b) @@ -4800,7 +5240,9 @@ main: .word(0x4ee84ea3) .word(0xe6ab89bb) .word(0x958a2d53) +#if !defined(PULP) .word(0xd79b7b8b) +#endif .word(0x3f1f15d3) .word(0xff009543) .word(0x265217cb) @@ -4814,7 +5256,9 @@ main: .word(0x25efdb13) .word(0xa61ad52b) .word(0x28b93cd3) +#if !defined(PULP) .word(0x5509698b) +#endif .word(0x2e2ec7eb) .word(0x0974dc6b) .word(0x41224ff7) @@ -4871,12 +5315,16 @@ main: .word(0xb74fa353) .word(0xd86021d3) .word(0x74c0d353) +#if !defined(PULP) .word(0x011a8ffb) +#endif .word(0xc048f36b) .word(0x31e804b3) .word(0x29a34d3b) .word(0xbd73b0fb) +#if !defined(PULP) .word(0x2887ef8b) +#endif .word(0xc265d36b) .word(0x0bc89267) .word(0x65a67a6b) @@ -4907,12 +5355,16 @@ main: .word(0x3b2d47f3) .word(0xe5438353) .word(0x29b4db2f) +#if !defined(PULP) .word(0x4e22e77b) +#endif .word(0xa9ec3923) .word(0x4aaab8f7) .word(0xfad78b57) .word(0x91f7651b) +#if !defined(PULP) .word(0x58bbaadb) +#endif .word(0x68ca27eb) .word(0x656b83af) .word(0x9eb4376b) @@ -4921,7 +5373,9 @@ main: .word(0xb133e1b3) .word(0x9654cad7) .word(0xca75142f) +#if !defined(PULP) .word(0xcd51f50b) +#endif .word(0x24d112e7) .word(0x1a6db06b) .word(0xe12fb5d7) @@ -4944,7 +5398,9 @@ main: .word(0x43a6206b) .word(0x3fb53eaf) .word(0x7d09b89b) +#if !defined(PULP) .word(0xdfa37b0b) +#endif .word(0xdb026833) .word(0xb1d65287) .word(0xd28ddab3) @@ -4970,7 +5426,9 @@ main: .word(0x5759cc73) .word(0x2c2c8c2f) .word(0x7438516b) +#if !defined(PULP) .word(0x410b650b) +#endif .word(0xe749b2ab) .word(0x760944a3) .word(0x74f7299b) @@ -4986,7 +5444,9 @@ main: .word(0x34b133a3) .word(0x216f6327) .word(0xd6ba77c7) +#if !defined(PULP) .word(0x442775fb) +#endif .word(0x9b0a0a3b) .word(0xb727462f) .word(0xa8d9d553) @@ -5017,7 +5477,9 @@ main: .word(0x0d75913b) .word(0x36ed4853) .word(0xaa8c86fb) +#if !defined(PULP) .word(0x57cd30ab) +#endif .word(0x0364a71b) .word(0xd2661f1b) .word(0x4ed9ccb3) @@ -5054,7 +5516,9 @@ main: .word(0xfc3bfde7) .word(0x82d1e923) .word(0xf9f995eb) +#if !defined(PULP) .word(0xc673bc5b) +#endif .word(0x2b52f12f) .word(0x89535d07) .word(0x923ab8f7) @@ -5108,10 +5572,16 @@ main: .word(0xd1eb73a7) .word(0xc8003eab) .word(0x90fdc877) +#if !defined(PULP) .word(0xd29434db) +#endif +#if !defined(PULP) .word(0x43b67afb) +#endif .word(0x0e99c21b) +#if !defined(PULP) .word(0x1e92e98b) +#endif .word(0x26813577) .word(0xfae45b27) .word(0xce778753) @@ -5173,12 +5643,16 @@ main: .word(0x450c5a27) .word(0xf9801b53) .word(0xad1ece9b) +#if !defined(PULP) .word(0x4c5afb8b) +#endif .word(0x2fcefd83) .word(0xee9c8353) .word(0x721eda77) .word(0x1feff847) +#if !defined(PULP) .word(0x686336db) +#endif .word(0x3c29a7af) .word(0xdc650f07) .word(0xaf03ae47) @@ -5189,7 +5663,9 @@ main: .word(0x411f87a7) .word(0x87719cfb) .word(0xb5d6d333) +#if !defined(PULP) .word(0xa2ce472b) +#endif .word(0x54ba4667) .word(0x9925be1b) .word(0x62855727) @@ -5207,12 +5683,16 @@ main: .word(0x0aac046b) .word(0x981cff67) .word(0x9bfe3983) +#if !defined(PULP) .word(0x5abfe98b) +#endif .word(0xef2f382b) .word(0xcf630d87) .word(0xff131c47) .word(0xbebc7d1b) +#if !defined(PULP) .word(0x2838097b) +#endif .word(0x60edf4bb) .word(0x3cd0fd9b) .word(0x67a785fb) @@ -5300,7 +5780,9 @@ main: .word(0x279aeca7) .word(0x2cef099b) .word(0xc773b877) +#if !defined(PULP) .word(0x5577a65b) +#endif .word(0xbefcb723) .word(0xaffe6a33) .word(0xbefa2847) @@ -5346,14 +5828,20 @@ main: .word(0x373fa1d3) .word(0xb17bbe33) .word(0x97b74ed3) +#if !defined(PULP) .word(0x21a3e90b) +#endif .word(0xa193f677) .word(0x3cc0c6d3) .word(0x30e3d667) .word(0x84ed3557) +#if !defined(PULP) .word(0x4680eb0b) +#endif .word(0x38b01293) +#if !defined(PULP) .word(0x5df5f80b) +#endif .word(0x2c8ef1a7) .word(0xd868bd1b) .word(0x427189f3) @@ -5398,14 +5886,18 @@ main: .word(0x37528d87) .word(0xec7aea33) .word(0x53f0551b) +#if !defined(PULP) .word(0x2393617b) +#endif .word(0xd0f2e7d3) .word(0x10df0327) .word(0xbbe3d323) .word(0xfc40ce27) .word(0xf44fe41b) .word(0xeda74567) +#if !defined(PULP) .word(0xaaadf6fb) +#endif .word(0x8ebe07a7) .word(0x21ef9767) .word(0x98d2c0bb) @@ -5422,7 +5914,9 @@ main: .word(0x38e90527) .word(0x8b5f0a53) .word(0x1d57e71b) +#if !defined(PULP) .word(0x2089be2b) +#endif .word(0x9307a63b) .word(0x827d2f77) .word(0xe2837be7) @@ -5467,7 +5961,9 @@ main: .word(0xc9c3a7eb) .word(0x57f95fbb) .word(0x19ef622f) +#if !defined(PULP) .word(0x28e37b0b) +#endif .word(0xf2db63fb) .word(0xf75704c3) .word(0xe786a5d3) @@ -5497,7 +5993,9 @@ main: .word(0x5d578727) .word(0x8aa15a1b) .word(0x95ff63eb) +#if !defined(PULP) .word(0xde4f2a5b) +#endif .word(0xe2a8b6d3) .word(0xb71c8557) .word(0x34566527) @@ -5523,9 +6021,13 @@ main: .word(0xf14abc3b) .word(0xe18af1eb) .word(0x42ffc867) +#if !defined(PULP) .word(0x48b9b4db) +#endif .word(0x2bab82af) +#if !defined(PULP) .word(0x123261fb) +#endif .word(0xe8db39e7) .word(0xdff826d7) .word(0x8e99d6c3) @@ -5541,28 +6043,40 @@ main: .word(0x1eaf7d87) .word(0x4a5bdf33) .word(0xbbd3c227) +#if !defined(PULP) .word(0x66bda95b) +#endif .word(0xf45e9693) .word(0xb795f407) .word(0x4738d74b) .word(0xe31b3e03) .word(0x2574076b) +#if !defined(PULP) .word(0x69191d7b) +#endif .word(0x82d702eb) .word(0xc7b1348b) +#if !defined(PULP) .word(0x877e7c0b) +#endif .word(0x6adcf003) .word(0x7dcc9be7) +#if !defined(PULP) .word(0x604a7efb) +#endif .word(0x4bd75fb3) .word(0x3cd7402f) .word(0x6268f207) .word(0xb4313183) +#if !defined(PULP) .word(0x076978fb) +#endif .word(0xc9ab1e07) .word(0x969afa2f) .word(0x54abd833) +#if !defined(PULP) .word(0x1c43f90b) +#endif .word(0xc682eeeb) .word(0xd081183b) .word(0xeb9159d7) @@ -5591,7 +6105,9 @@ main: .word(0x51907507) .word(0xaaf26eab) .word(0x5253669b) +#if !defined(PULP) .word(0xa019fb0b) +#endif .word(0x5f55fb23) .word(0x66063bc3) .word(0x1e2850ab) @@ -5604,7 +6120,9 @@ main: .word(0x4d1ee567) .word(0x15131b77) .word(0x356b3b6b) +#if !defined(PULP) .word(0x89c1600b) +#endif .word(0xfe4e6347) .word(0xcb75631b) .word(0xba782e3b) @@ -5620,7 +6138,9 @@ main: .word(0xac22961b) .word(0x184cd13b) .word(0xed85be2b) +#if !defined(PULP) .word(0x4c2ca6db) +#endif .word(0xd3336387) .word(0x9bd99127) .word(0x66fce99b) @@ -5638,7 +6158,9 @@ main: .word(0x2bcb569b) .word(0x84d0f903) .word(0xf5bac127) +#if !defined(PULP) .word(0xab1df57b) +#endif .word(0x8751d6d3) .word(0x71f1eea3) .word(0x2bded093) @@ -5680,7 +6202,9 @@ main: .word(0x2184c0a7) .word(0x4cfded1b) .word(0xfa176e23) +#if !defined(PULP) .word(0xd682af5b) +#endif .word(0x4f3c5deb) .word(0xea05e0bb) .word(0x9f6e4a87) @@ -5712,7 +6236,9 @@ main: .word(0xea7feea3) .word(0x150b156b) .word(0x8abf99af) +#if !defined(PULP) .word(0x7994bfdb) +#endif .word(0xd56812fb) .word(0xd76377e7) .word(0x040bca3b) @@ -5754,8 +6280,12 @@ main: .word(0x4424e167) .word(0x55a8fb87) .word(0x4771324b) +#if !defined(PULP) .word(0x61e8a05b) +#endif +#if !defined(PULP) .word(0x10ee727b) +#endif .word(0xc05c5123) .word(0x5119b4e7) .word(0xd295c8af) @@ -5785,8 +6315,12 @@ main: .word(0x4e2b561b) .word(0xaebcefcb) .word(0x99a414d3) +#if !defined(PULP) .word(0x26b9f8fb) +#endif +#if !defined(PULP) .word(0x4703be5b) +#endif .word(0xf7e64fc7) .word(0x17701b53) .word(0x7232c9a7) @@ -5796,13 +6330,17 @@ main: .word(0x13274933) .word(0xbbd18653) .word(0xae80e82f) +#if !defined(PULP) .word(0x15cc9efb) +#endif .word(0xd2d77883) .word(0x164f2b33) .word(0xa989849b) .word(0xba183f2f) .word(0x0915f8bb) +#if !defined(PULP) .word(0xc6d76c0b) +#endif .word(0x65a054bb) .word(0x672e5007) .word(0x23713103) @@ -5895,7 +6433,9 @@ main: .word(0x236becaf) .word(0xe0215bbb) .word(0xb462aed7) +#if !defined(PULP) .word(0x406af57b) +#endif .word(0x6e9195d3) .word(0x516336f7) .word(0xa7f736c3) @@ -5937,7 +6477,9 @@ main: .word(0x8f7a26fb) .word(0x503f9267) .word(0x033befaf) +#if !defined(PULP) .word(0xb7f4720b) +#endif .word(0x77d00bf7) .word(0xbe14606b) .word(0x2e31c84f) @@ -5948,7 +6490,9 @@ main: .word(0x8d7b3df7) .word(0x27199667) .word(0x63e63033) +#if !defined(PULP) .word(0x0a46e3fb) +#endif .word(0xc2a8c177) .word(0x915ebb23) .word(0x0add0ca7) @@ -5976,13 +6520,17 @@ main: .word(0x29ab9db3) .word(0x7bb2d0e7) .word(0xc9325853) +#if !defined(PULP) .word(0xdc82678b) +#endif .word(0x24476fa3) .word(0x1e56d0cb) .word(0x34bf6653) .word(0x80036c77) .word(0x5695004b) +#if !defined(PULP) .word(0x3a09bc2b) +#endif .word(0x4e3612d3) .word(0xe270111b) .word(0xd38b39e7) @@ -5994,9 +6542,13 @@ main: .word(0x96d221fb) .word(0xa765e3c7) .word(0x778406d3) +#if !defined(PULP) .word(0xf9d8365b) +#endif .word(0x5f6c771b) +#if !defined(PULP) .word(0x76cb768b) +#endif .word(0xb07469bb) .word(0x2f4a074b) .word(0x6e58eb33) @@ -6031,7 +6583,9 @@ main: .word(0x5861f007) .word(0x6bd35ae7) .word(0x96141753) +#if !defined(PULP) .word(0x930fbb2b) +#endif .word(0x663eb357) .word(0x9a596d87) .word(0x46a2836b) @@ -6044,7 +6598,9 @@ main: .word(0xa6cc5943) .word(0x7ccc0fa7) .word(0x8cb25377) +#if !defined(PULP) .word(0x2dd985fb) +#endif .word(0xc0506427) .word(0x6df168e7) .word(0x4682a0cb) @@ -6057,7 +6613,9 @@ main: .word(0xb26e3953) .word(0xd29eabd7) .word(0xef31c63b) +#if !defined(PULP) .word(0x73203eab) +#endif .word(0xc181d907) .word(0xfc221faf) .word(0x17ef82eb) @@ -6068,7 +6626,9 @@ main: .word(0x3ff8fd03) .word(0x2f380453) .word(0xe5911a13) +#if !defined(PULP) .word(0x5c5d325b) +#endif .word(0x3e386fd3) .word(0x9c357d27) .word(0x162060cb) @@ -6111,7 +6671,9 @@ main: .word(0x58109467) .word(0x8fe61747) .word(0xb88810eb) +#if !defined(PULP) .word(0xd84e2fdb) +#endif .word(0x48ba62a3) .word(0x94a41bbb) .word(0x53697377) @@ -6144,7 +6706,9 @@ main: .word(0x872fd5a3) .word(0x07eb5167) .word(0xf62b6b6b) +#if !defined(PULP) .word(0x35a6332b) +#endif .word(0x857ccca7) .word(0x4861f02b) .word(0x73b3c707) @@ -6198,8 +6762,12 @@ main: .word(0x514bf333) .word(0x5bc00433) .word(0xdffba9af) +#if !defined(PULP) .word(0xdac6f40b) +#endif +#if !defined(PULP) .word(0xfcaef90b) +#endif .word(0x6eaddaf7) .word(0x2ae12bb3) .word(0x168f692f) @@ -6219,7 +6787,9 @@ main: .word(0xc401f62b) .word(0xbe466653) .word(0x18e2cbb3) +#if !defined(PULP) .word(0x4d809cfb) +#endif .word(0x62a0dfeb) .word(0xb37dadb3) .word(0x58bfab53) @@ -6299,7 +6869,9 @@ main: .word(0x1eb77deb) .word(0x16a26523) .word(0xbc48996b) +#if !defined(PULP) .word(0xe00b887b) +#endif .word(0xa6d77127) .word(0xf607ce9b) .word(0x483f65a7) @@ -6311,7 +6883,9 @@ main: .word(0xb7118a57) .word(0x0fe4b28b) .word(0x2e267ea7) +#if !defined(PULP) .word(0x19faee7b) +#endif .word(0x35fb40af) .word(0xab234123) .word(0x3a80393b) @@ -6324,7 +6898,9 @@ main: .word(0x0694333b) .word(0x0ff7cf4f) .word(0xc6f29947) +#if !defined(PULP) .word(0xd826f07b) +#endif .word(0xa9e4b8fb) .word(0xf0a84c67) .word(0xeb3a436b) @@ -6336,13 +6912,19 @@ main: .word(0x6713969b) .word(0x6c02fd2b) .word(0x6e86b5ab) +#if !defined(PULP) .word(0xc4b7fb8b) +#endif +#if !defined(PULP) .word(0x4c047bfb) +#endif .word(0xeea0cf1b) .word(0x794c569b) .word(0x893e38eb) .word(0x5805e127) +#if !defined(PULP) .word(0xd1b5fafb) +#endif .word(0xe9345713) .word(0x4c8189af) .word(0x0ff5496b) @@ -6357,7 +6939,9 @@ main: .word(0x1efa61eb) .word(0x10e78127) .word(0xce5b5313) +#if !defined(PULP) .word(0xbcc0fb8b) +#endif .word(0x77259353) .word(0xb6f70eaf) .word(0xe79cc5f7) @@ -6367,7 +6951,9 @@ main: .word(0x65dce1d3) .word(0xdf01ce87) .word(0x5381357b) +#if !defined(PULP) .word(0xb82aae7b) +#endif .word(0x2fb63677) .word(0x6c369dbb) .word(0xfa0d1f9b) @@ -6381,7 +6967,9 @@ main: .word(0xef90e5eb) .word(0x9999c5f7) .word(0xdc5c8e87) +#if !defined(PULP) .word(0xdc0426db) +#endif .word(0x72c6d6b3) .word(0xf67352e7) .word(0xcdb8f967) @@ -6404,7 +6992,9 @@ main: .word(0x28a188eb) .word(0xefef372f) .word(0x7c920aeb) +#if !defined(PULP) .word(0x748429fb) +#endif .word(0x775a5bab) .word(0xb7c8a44f) .word(0x8f641da7) @@ -6426,8 +7016,12 @@ main: .word(0xc3d16977) .word(0x398e3dd3) .word(0x94de887b) +#if !defined(PULP) .word(0x615b8d7b) +#endif +#if !defined(PULP) .word(0x9b7deefb) +#endif .word(0x41bea077) .word(0xa78839e7) .word(0x69203c77) @@ -6478,7 +7072,9 @@ main: .word(0x8cffa4d7) .word(0x279a84eb) .word(0xd22dcfd3) +#if !defined(PULP) .word(0xb115e28b) +#endif .word(0x85a4ff3b) .word(0x7e1758e7) .word(0x828a99fb) @@ -6523,7 +7119,9 @@ main: .word(0x9adc1627) .word(0x476bb46b) .word(0xe2e284f3) +#if !defined(PULP) .word(0x4f78205b) +#endif .word(0x1f23af57) .word(0xb1494f27) .word(0x21e35577) @@ -6541,7 +7139,9 @@ main: .word(0x6a521327) .word(0xf6327107) .word(0x26fc1857) +#if !defined(PULP) .word(0xf1a900fb) +#endif .word(0x9404ee27) .word(0x8cd7dbaf) .word(0xa554f903) @@ -6576,7 +7176,9 @@ main: .word(0xfcc8481b) .word(0x86f38957) .word(0x7bf62f33) +#if !defined(PULP) .word(0x4409618b) +#endif .word(0x630aa86b) .word(0x7e94c033) .word(0x8357592b) @@ -6597,7 +7199,9 @@ main: .word(0xb7ac6b9b) .word(0x6ec3de3b) .word(0x8c621407) +#if !defined(PULP) .word(0x38f6797b) +#endif .word(0xdeefbfc7) .word(0x522908af) .word(0x30e67b83) @@ -6618,7 +7222,9 @@ main: .word(0xfc82cb67) .word(0xc022ee33) .word(0x6a7b4ad3) +#if !defined(PULP) .word(0x88e36c7b) +#endif .word(0xb6d72e4b) .word(0xe07d74a7) .word(0x0fad98cb) @@ -6670,7 +7276,9 @@ main: .word(0x1e275a93) .word(0x9026592b) .word(0xac278daf) +#if !defined(PULP) .word(0xe039977b) +#endif .word(0x4b59a43b) .word(0x77a0e423) .word(0xb7f530d3) @@ -6698,7 +7306,9 @@ main: .word(0xc18e42e7) .word(0x886322e7) .word(0xe08b7723) +#if !defined(PULP) .word(0x8be9660b) +#endif .word(0x5e5b2af7) .word(0xfc6cf6af) .word(0xcab4509b) @@ -6715,7 +7325,9 @@ main: .word(0x2cd2853b) .word(0x34e55733) .word(0x0e24d8a3) +#if !defined(PULP) .word(0xf4cbb5db) +#endif .word(0x48849613) .word(0x81c32beb) .word(0x8db53733) @@ -6730,7 +7342,9 @@ main: .word(0xc721e2bb) .word(0xce001ec3) .word(0x1ee5ba3b) +#if !defined(PULP) .word(0x8333ee8b) +#endif .word(0xaccdd9a3) .word(0x733e29d7) .word(0x34e99807) @@ -6748,7 +7362,9 @@ main: .word(0x867ed12b) .word(0x5c69a73b) .word(0x9a88c1ab) +#if !defined(PULP) .word(0xa89d728b) +#endif .word(0x64133f2f) .word(0x96cf94cf) .word(0xdcf6e9d7) @@ -6879,9 +7495,13 @@ main: .word(0xdfdf1807) .word(0xe744be6b) .word(0xdc768a3b) +#if !defined(PULP) .word(0x08f6b22b) +#endif .word(0x0cb04d87) +#if !defined(PULP) .word(0x05c1c72b) +#endif .word(0xad91b8af) .word(0x4edbafd7) .word(0xd5007aab) @@ -6903,11 +7523,15 @@ main: .word(0x2cab411b) .word(0x6d3614bb) .word(0x662deb33) +#if !defined(PULP) .word(0xf717a6db) +#endif .word(0xc197722b) .word(0xd850277b) .word(0x63ac73ab) +#if !defined(PULP) .word(0xce8ae48b) +#endif .word(0xf2986ca7) .word(0xc20760bb) .word(0xe8045733) @@ -6955,7 +7579,9 @@ main: .word(0x6cf6102f) .word(0xf753ea87) .word(0x1dafab9b) +#if !defined(PULP) .word(0x3891f77b) +#endif .word(0x64f3cbf3) .word(0x8f0317c7) .word(0x784f3c23) @@ -7000,11 +7626,15 @@ main: .word(0x6832469b) .word(0x8bb55653) .word(0xff7bbbbb) +#if !defined(PULP) .word(0xfffcf70b) +#endif .word(0x3e40aa4f) .word(0xd8c0af7b) .word(0x06564bc3) +#if !defined(PULP) .word(0x0713e9fb) +#endif .word(0x0320182f) .word(0xf0c25b1b) .word(0xf3aa66eb) @@ -7032,7 +7662,9 @@ main: .word(0x9a59f987) .word(0x1571f1af) .word(0xeff4cdab) +#if !defined(PULP) .word(0x07bcfdfb) +#endif .word(0x65859e77) .word(0xc3b48dd7) .word(0xf139adfb) @@ -7084,7 +7716,9 @@ main: .word(0xb44e30bb) .word(0xbba76ebb) .word(0x40f08bd3) +#if !defined(PULP) .word(0xa659ea8b) +#endif .word(0x94a74653) .word(0x060e116b) .word(0xf25ea56b) @@ -7107,7 +7741,9 @@ main: .word(0x429125eb) .word(0xbf40c173) .word(0x75e53633) +#if !defined(PULP) .word(0x64926bfb) +#endif .word(0x699e4bf7) .word(0x2124976b) .word(0xe6b14b27) @@ -7121,8 +7757,12 @@ main: .word(0x0685ed07) .word(0xc2259d6b) .word(0x34e6d233) +#if !defined(PULP) .word(0x1492877b) +#endif +#if !defined(PULP) .word(0x64a73a5b) +#endif .word(0x2e85b6fb) .word(0x3f81ab4b) .word(0x2c62b2b3) @@ -7130,7 +7770,9 @@ main: .word(0xbba7c03b) .word(0x86457d7b) .word(0x8b9a639b) +#if !defined(PULP) .word(0x65a0f38b) +#endif .word(0x6143553b) .word(0x2457acd7) .word(0x98b57bf7) @@ -7162,9 +7804,13 @@ main: .word(0x6f2d69c7) .word(0xf439b983) .word(0x4b0dbe23) +#if !defined(PULP) .word(0x7541b92b) +#endif .word(0x070d9a87) +#if !defined(PULP) .word(0x0da387fb) +#endif .word(0x07a14f07) .word(0x4d92a067) .word(0x80d8a6d3) @@ -7223,12 +7869,18 @@ main: .word(0x9d17c357) .word(0x1d4f02eb) .word(0x4f3671eb) +#if !defined(PULP) .word(0x25c5eb7b) +#endif .word(0x97ee9a47) .word(0x86f9d51b) .word(0xf3495987) +#if !defined(PULP) .word(0x6092fbfb) +#endif +#if !defined(PULP) .word(0xc328f48b) +#endif .word(0x671a7fab) .word(0x043e4827) .word(0xb006d1f7) @@ -7240,7 +7892,9 @@ main: .word(0xf6c28af7) .word(0xf06f7aa3) .word(0x8f790473) +#if !defined(PULP) .word(0x1843ea0b) +#endif .word(0x7ee8a167) .word(0x6632b4d7) .word(0x8116ba0b) @@ -7254,11 +7908,15 @@ main: .word(0x9cf43b2b) .word(0xb3535f9b) .word(0x06a422cb) +#if !defined(PULP) .word(0x9f0fe20b) +#endif .word(0x6ddbe0af) .word(0x4d35249b) .word(0x8f4eacc3) +#if !defined(PULP) .word(0x849f7c8b) +#endif .word(0x55b849b3) .word(0x3df4c4d3) .word(0xf44f6733) @@ -7278,7 +7936,9 @@ main: .word(0xd7757cb3) .word(0x5b6f0a6b) .word(0x3cbd4a1b) +#if !defined(PULP) .word(0x7bf8a0db) +#endif .word(0xdea97653) .word(0x3ff6fbab) .word(0x57530ccf) @@ -7313,7 +7973,9 @@ main: .word(0xf9b60f9b) .word(0x6e7ce787) .word(0xf19939f7) +#if !defined(PULP) .word(0x4a1521db) +#endif .word(0x3dd73b33) .word(0x905a9513) .word(0x84a780eb) @@ -7329,14 +7991,18 @@ main: .word(0x0246e823) .word(0xa9c8cf2b) .word(0x814a0c77) +#if !defined(PULP) .word(0x386f78fb) +#endif .word(0xf7e6eba3) .word(0x9b747e77) .word(0x5dc4a27b) .word(0x74fb3733) .word(0x1e8b3203) .word(0x7fb1e0f7) +#if !defined(PULP) .word(0xc650608b) +#endif .word(0xb918c41b) .word(0x88236a07) .word(0xa5b55e87) @@ -7346,14 +8012,18 @@ main: .word(0xdf5df0a7) .word(0xd8feb82f) .word(0x096d702f) +#if !defined(PULP) .word(0x35971cfb) +#endif .word(0x4f8c604f) .word(0xe5405593) .word(0xfab14f1b) .word(0xdc49dd53) .word(0x315c46eb) .word(0xd25dceab) +#if !defined(PULP) .word(0x5607f28b) +#endif .word(0x2f13704b) .word(0xf712e29b) .word(0x7ea38fd7) @@ -7433,12 +8103,16 @@ main: .word(0xcf8c501b) .word(0x16dcc66b) .word(0x86ff37d7) +#if !defined(PULP) .word(0xed79c7ab) +#endif .word(0x85b0ab57) .word(0x1b7d3a1b) .word(0x4bf53583) .word(0x497390a7) +#if !defined(PULP) .word(0x53b1757b) +#endif .word(0x4fc947c3) .word(0x555ddce7) .word(0x0944fdf7) @@ -7470,7 +8144,9 @@ main: .word(0x54ccd907) .word(0x811c6f1b) .word(0x163dd0ab) +#if !defined(PULP) .word(0x8bb1e88b) +#endif .word(0xb9dd59b3) .word(0xc98ff99b) .word(0x895bed07) @@ -7485,14 +8161,18 @@ main: .word(0x38eef977) .word(0x3109d01b) .word(0xccd5db13) +#if !defined(PULP) .word(0xc1cc658b) +#endif .word(0x46f96627) .word(0xa9cb30e7) .word(0x9ec31aaf) .word(0x57ef3453) .word(0x06e7e9cb) .word(0xd972dd07) +#if !defined(PULP) .word(0x9a75f90b) +#endif .word(0xae09f4af) .word(0x5c798007) .word(0x08754273) @@ -7534,7 +8214,9 @@ main: .word(0x7ad127fb) .word(0x132cd63b) .word(0x7b8025af) +#if !defined(PULP) .word(0xc3e420db) +#endif .word(0x4ebf7683) .word(0xdb89ebb3) .word(0xec25893b) @@ -7542,10 +8224,14 @@ main: .word(0x14d75d1b) .word(0x36969fe7) .word(0x9a7d1e53) +#if !defined(PULP) .word(0xb387660b) +#endif .word(0xf09367bb) .word(0xa225d1d7) +#if !defined(PULP) .word(0xa110e87b) +#endif .word(0x40d79d1b) .word(0xa7f7da9b) .word(0x6fe86dc7) @@ -7584,7 +8270,9 @@ main: .word(0x6d659807) .word(0x25e8f983) .word(0x7e9b0a4f) +#if !defined(PULP) .word(0x38d60f7b) +#endif .word(0x5280cbeb) .word(0x4cc317af) .word(0xbfc25153) @@ -7600,9 +8288,13 @@ main: .word(0xdd21e1a7) .word(0xb60b0d4f) .word(0xb6bd66fb) +#if !defined(PULP) .word(0xf0a3afdb) +#endif .word(0xb13f8153) +#if !defined(PULP) .word(0x19527bfb) +#endif .word(0x9001de67) .word(0x19b3ac6b) .word(0xe942b283) @@ -7627,7 +8319,9 @@ main: .word(0xc0ca2abb) .word(0xdd72db93) .word(0x7b00316b) +#if !defined(PULP) .word(0x165669fb) +#endif .word(0xe889722b) .word(0x22815613) .word(0xbaaed793) @@ -7672,13 +8366,17 @@ main: .word(0xb26dc4af) .word(0x9a9912bb) .word(0x4240acfb) +#if !defined(PULP) .word(0x5b2835db) +#endif .word(0x2f589747) .word(0xf39df907) .word(0x7288b20b) .word(0x95f5a9d7) .word(0xaf9e46cf) +#if !defined(PULP) .word(0xaae06e0b) +#endif .word(0xea33f783) .word(0x45887b23) .word(0x1bc8a59b) @@ -7705,9 +8403,13 @@ main: .word(0x220d2933) .word(0x238d91f7) .word(0xbb99edb3) +#if !defined(PULP) .word(0x92a1657b) +#endif .word(0xb0bfba0b) +#if !defined(PULP) .word(0x5633ee0b) +#endif .word(0x2f62174b) .word(0xd641e4d7) .word(0x8da07677) @@ -7737,7 +8439,9 @@ main: .word(0xf9f4f2fb) .word(0x796a16b3) .word(0xb04c34d7) +#if !defined(PULP) .word(0x1226f9fb) +#endif .word(0x1e4bf477) .word(0xf2dcf123) .word(0x24f57b27) @@ -7752,7 +8456,9 @@ main: .word(0x6eba534b) .word(0x8984aaaf) .word(0x746c5ed7) +#if !defined(PULP) .word(0x5f9ee70b) +#endif .word(0x6eeb20cf) .word(0x0fb968af) .word(0x92fe3733) @@ -7760,7 +8466,9 @@ main: .word(0x9ac50857) .word(0xa8cef69b) .word(0x2474a657) +#if !defined(PULP) .word(0x83f3760b) +#endif .word(0x0c4cbdd7) .word(0x56efcad3) .word(0xbca8a833) @@ -7768,12 +8476,16 @@ main: .word(0x02a158f7) .word(0x5dfda42f) .word(0x180287eb) +#if !defined(PULP) .word(0x8ad57a0b) +#endif .word(0x7877511b) .word(0xfcd50da7) .word(0x25af98eb) .word(0x5315cfa7) +#if !defined(PULP) .word(0x45976f7b) +#endif .word(0xae449eeb) .word(0xf0b91557) .word(0xfe5a3067) @@ -7807,7 +8519,9 @@ main: .word(0x1473312b) .word(0x8ff4b8bb) .word(0x784983bb) +#if !defined(PULP) .word(0x6666f78b) +#endif .word(0x463dfd53) .word(0x9623c64f) .word(0xa2fb78e7) @@ -7830,7 +8544,9 @@ main: .word(0xf39f95bb) .word(0x596587b3) .word(0xb6457133) +#if !defined(PULP) .word(0x5e47ab5b) +#endif .word(0xd81011af) .word(0x16869a9b) .word(0x06334657) @@ -7880,7 +8596,9 @@ main: .word(0xbd9e1c3b) .word(0xc71462ab) .word(0x1c69fd27) +#if !defined(PULP) .word(0x54f56f8b) +#endif .word(0xfec8f06b) .word(0xa35119d7) .word(0x9c9b54af) @@ -7897,7 +8615,9 @@ main: .word(0x1509c587) .word(0xa9587ed3) .word(0xf9ff51ab) +#if !defined(PULP) .word(0x64e2e80b) +#endif .word(0x9bebfb03) .word(0x6a33ea87) .word(0x2ea19587) @@ -7908,7 +8628,9 @@ main: .word(0x0d60f22b) .word(0x0bb6247b) .word(0x9044e2eb) +#if !defined(PULP) .word(0xbb09097b) +#endif .word(0x3d44cbbb) .word(0x12bce5eb) .word(0x9bf8dde7) @@ -7929,7 +8651,9 @@ main: .word(0xe93a8173) .word(0x8145fe03) .word(0xb6d2bcc7) +#if !defined(PULP) .word(0x2ca4e27b) +#endif .word(0x62b9fc87) .word(0x97b4d587) .word(0x99d09abb) @@ -7947,7 +8671,9 @@ main: .word(0xf535292f) .word(0xad3e8157) .word(0xed877e07) +#if !defined(PULP) .word(0x4dc2e98b) +#endif .word(0x5682ba6b) .word(0x91907387) .word(0xff99aed7) @@ -7976,7 +8702,9 @@ main: .word(0x29218dbb) .word(0x51f0e227) .word(0xa542b8bb) +#if !defined(PULP) .word(0xdb08f98b) +#endif .word(0x131175f7) .word(0x0a9fb1f7) .word(0x265fdc1b) @@ -7984,7 +8712,9 @@ main: .word(0x1cfae127) .word(0x3819b167) .word(0xfbfdd5d3) +#if !defined(PULP) .word(0xd457fc0b) +#endif .word(0x0ef17e47) .word(0x3e845ea7) .word(0xa76b133b) @@ -7995,7 +8725,9 @@ main: .word(0x9124da2b) .word(0xcb0c812f) .word(0xbc9a4a2f) +#if !defined(PULP) .word(0x0082b1ab) +#endif .word(0xed1edf87) .word(0x8f987727) .word(0x183e47e7) @@ -8021,12 +8753,16 @@ main: .word(0x4d36dfab) .word(0x943be37b) .word(0xf7f9bfc7) +#if !defined(PULP) .word(0x9aebf17b) +#endif .word(0x2b75d413) .word(0x7d8dcd33) .word(0x83b819fb) .word(0x0b6ba89b) +#if !defined(PULP) .word(0x249f3e2b) +#endif .word(0x98e39c53) .word(0xea7ebd67) .word(0xfb0beea3) @@ -8036,7 +8772,9 @@ main: .word(0x3fd5b4b3) .word(0x119b54eb) .word(0x61d050a7) +#if !defined(PULP) .word(0x4dc91d7b) +#endif .word(0xba504fd3) .word(0xa5dc8a3b) .word(0xa75dcdf7) @@ -8095,7 +8833,9 @@ main: .word(0x97221557) .word(0x345ca6f7) .word(0xf5bbfbaf) +#if !defined(PULP) .word(0xc9216e8b) +#endif .word(0xa385e51b) .word(0x868e251b) .word(0xb2fc73b3) @@ -8108,7 +8848,9 @@ main: .word(0x08e1d567) .word(0x370bb453) .word(0xa761784f) +#if !defined(PULP) .word(0x06e0ff7b) +#endif .word(0x5bcdd733) .word(0x2a9de333) .word(0x7aea64e7) @@ -8129,7 +8871,9 @@ main: .word(0x7540f003) .word(0xa7c2e643) .word(0xdbe57e1b) +#if !defined(PULP) .word(0x75d22cdb) +#endif .word(0x61541e87) .word(0xfda8576b) .word(0xc41ee487) @@ -8169,7 +8913,9 @@ main: .word(0x1bc0eb1b) .word(0x272e82eb) .word(0xf81be86b) +#if !defined(PULP) .word(0xa906640b) +#endif .word(0xda845613) .word(0x22470d27) .word(0x82fa1627) @@ -8179,7 +8925,9 @@ main: .word(0x88d7bdf7) .word(0xfb3e082f) .word(0xcefbf1c7) +#if !defined(PULP) .word(0x68546afb) +#endif .word(0xfa5b7067) .word(0x6b38866b) .word(0xe2cead7b) @@ -8257,7 +9005,9 @@ main: .word(0xb7cf9177) .word(0xc2f4de6b) .word(0x5ed4d13b) +#if !defined(PULP) .word(0xee89a05b) +#endif .word(0x26883d4f) .word(0x09b53833) .word(0x51a83683) @@ -8287,7 +9037,9 @@ main: .word(0xef6f3547) .word(0x1fc215d3) .word(0x44a80907) +#if !defined(PULP) .word(0x6866f88b) +#endif .word(0x3e293557) .word(0xf025b50b) .word(0x64305753) @@ -8335,8 +9087,12 @@ main: .word(0xc0e9b71b) .word(0xd203b8a3) .word(0x9c304c1b) +#if !defined(PULP) .word(0x489505fb) +#endif +#if !defined(PULP) .word(0xa2676ffb) +#endif .word(0x2f386477) .word(0x0fd48f4b) .word(0x00437823) @@ -8361,10 +9117,14 @@ main: .word(0x9e3670c3) .word(0xe03a511b) .word(0x0ab0b303) +#if !defined(PULP) .word(0xca17f20b) +#endif .word(0x7569d7af) .word(0x16aa9e7b) +#if !defined(PULP) .word(0x2aba6f0b) +#endif .word(0x3a3132eb) .word(0xebd09807) .word(0x29cccf2f) @@ -8380,7 +9140,9 @@ main: .word(0x062671c7) .word(0x7f6515cf) .word(0x5baa48a7) +#if !defined(PULP) .word(0xab547c0b) +#endif .word(0x1cbb4333) .word(0x06f8bfd3) .word(0x8d617367) @@ -8416,7 +9178,9 @@ main: .word(0xae455227) .word(0x4a0008a7) .word(0xb14ba8bb) +#if !defined(PULP) .word(0x7630e60b) +#endif .word(0xa2055013) .word(0x35e15b13) .word(0x4f1f32bb) @@ -8425,7 +9189,9 @@ main: .word(0x34a52efb) .word(0xc41af39b) .word(0x115f5baf) +#if !defined(PULP) .word(0x1f1dea7b) +#endif .word(0xc4b187d7) .word(0xe458f087) .word(0xff5a71d7) @@ -8434,7 +9200,9 @@ main: .word(0x97ceadb3) .word(0x00a57187) .word(0x693d6d2b) +#if !defined(PULP) .word(0xfff5ac5b) +#endif .word(0xcca2ceeb) .word(0x1f9bcbbb) .word(0x57c1492f) @@ -8449,11 +9217,15 @@ main: .word(0xe8995933) .word(0x5b40fa27) .word(0x6702beaf) +#if !defined(PULP) .word(0xa2ec79fb) +#endif .word(0x6fabfe4f) .word(0x663a83f7) .word(0xf890632b) +#if !defined(PULP) .word(0x14a1e7fb) +#endif .word(0x4f0fa2eb) .word(0xae908787) .word(0x7604d3d3) @@ -8524,7 +9296,9 @@ main: .word(0x7f1bb70b) .word(0xe76657c7) .word(0x14c2d8f7) +#if !defined(PULP) .word(0x0b36e50b) +#endif .word(0x5358e9a7) .word(0x78df7d03) .word(0x38b5c3e7) @@ -8551,7 +9325,9 @@ main: .word(0x4c160527) .word(0x3445b253) .word(0xc13eec87) +#if !defined(PULP) .word(0x1173627b) +#endif .word(0x35109d53) .word(0xd5af4667) .word(0x29e79877) @@ -8580,19 +9356,25 @@ main: .word(0x773f834b) .word(0x72fd5757) .word(0x27e41f7b) +#if !defined(PULP) .word(0x2089312b) +#endif .word(0x8cabb03b) .word(0x50c97e23) .word(0x0f191d87) .word(0x70e9c2e7) +#if !defined(PULP) .word(0x4bc7a4db) +#endif .word(0x20afe5f7) .word(0x4c1623fb) .word(0x81977f1b) .word(0xf9b88deb) .word(0x49d5ed27) .word(0xb25f6933) +#if !defined(PULP) .word(0x29868a7b) +#endif .word(0xd63ee747) .word(0x4350ed1b) .word(0xe9c64f3b) @@ -8610,7 +9392,9 @@ main: .word(0xece1636b) .word(0xbd033183) .word(0xe18be72f) +#if !defined(PULP) .word(0x593bec0b) +#endif .word(0x4e4c4523) .word(0xc772f7ab) .word(0x7bd3f007) @@ -8624,7 +9408,9 @@ main: .word(0xe6ecded3) .word(0xf9d0a853) .word(0x2ebef52f) +#if !defined(PULP) .word(0xc52c6b0b) +#endif .word(0x7f13e657) .word(0x80bc5767) .word(0x8814999b) @@ -8650,7 +9436,9 @@ main: .word(0xd822dfd7) .word(0x7de06953) .word(0x9ceb8387) +#if !defined(PULP) .word(0xb9ae700b) +#endif .word(0x8d0426e7) .word(0xfb4826eb) .word(0xd214c187) @@ -8675,7 +9463,9 @@ main: .word(0x78c05e2f) .word(0x27293c77) .word(0x0c1ec12b) +#if !defined(PULP) .word(0x6823b45b) +#endif .word(0x5c23052f) .word(0x7dbd5093) .word(0x4dfa7d2b) @@ -8725,7 +9515,9 @@ main: .word(0x608e912f) .word(0x4d33deab) .word(0x5ddabd8b) +#if !defined(PULP) .word(0xcb347f7b) +#endif .word(0xbd0d0dbb) .word(0x5eb5ef77) .word(0xfe0be9cb) @@ -8733,7 +9525,9 @@ main: .word(0xbc21a8eb) .word(0xb0da78b3) .word(0xef4e7753) +#if !defined(PULP) .word(0xd7c5a55b) +#endif .word(0x11654e9b) .word(0x697754e7) .word(0x17080a47) @@ -8742,7 +9536,9 @@ main: .word(0x16ff5033) .word(0x98e97fa3) .word(0x396e6167) +#if !defined(PULP) .word(0x7b4e6b0b) +#endif .word(0x8ebcf2d7) .word(0xba604567) .word(0x5276b9b3) @@ -8763,7 +9559,9 @@ main: .word(0xf8dd7bf7) .word(0x69af896b) .word(0x163a237b) +#if !defined(PULP) .word(0x79c437db) +#endif .word(0xf14001eb) .word(0x5a2b1257) .word(0xf628e1cb) @@ -8779,7 +9577,9 @@ main: .word(0x77024353) .word(0x09b93bbb) .word(0x3c2e3aeb) +#if !defined(PULP) .word(0x4924f90b) +#endif .word(0x52f4be0b) .word(0x7c850cfb) .word(0x1b7ad6d7) @@ -8806,7 +9606,9 @@ main: .word(0xd533eebb) .word(0x96e8f533) .word(0xfedc7a27) +#if !defined(PULP) .word(0x9d957b8b) +#endif .word(0xb04c1657) .word(0x0370d6eb) .word(0x002560f7) @@ -8824,7 +9626,9 @@ main: .word(0xf8db559b) .word(0x1e669e43) .word(0x80f50f2f) +#if !defined(PULP) .word(0xee6fbe5b) +#endif .word(0x0413b2f7) .word(0x706e7b1b) .word(0x69d3b4af) @@ -8842,7 +9646,9 @@ main: .word(0xed2f1c87) .word(0xac0e4073) .word(0x9d867e9b) +#if !defined(PULP) .word(0xdde87b8b) +#endif .word(0xb33a857b) .word(0x0c7c9c67) .word(0xdee00227) @@ -8862,7 +9668,9 @@ main: .word(0x7888c553) .word(0x0fb80133) .word(0x2a8088af) +#if !defined(PULP) .word(0x0638610b) +#endif .word(0x7c2a4c27) .word(0xbfaf066b) .word(0xf3590e87) @@ -8878,7 +9686,9 @@ main: .word(0xf2584553) .word(0x9257e9bb) .word(0xfc5910e7) +#if !defined(PULP) .word(0x59e2610b) +#endif .word(0x2094471b) .word(0x3acc9893) .word(0x1bbe4927) @@ -8886,7 +9696,9 @@ main: .word(0xf2cadb23) .word(0xcbbeab53) .word(0x2a38711b) +#if !defined(PULP) .word(0xda5ef40b) +#endif .word(0x8901f167) .word(0xacc1049b) .word(0x1489e09b) @@ -8910,7 +9722,9 @@ main: .word(0x5b231cb3) .word(0x9a9f4d77) .word(0x86cb7253) +#if !defined(PULP) .word(0x72e0bd2b) +#endif .word(0x5c5184bb) .word(0x94ec51a3) .word(0xb302747b) @@ -8973,7 +9787,9 @@ main: .word(0x7073bab3) .word(0xd5f07777) .word(0x9357926b) +#if !defined(PULP) .word(0x0cd7947b) +#endif .word(0x4987dfaf) .word(0x48f151bb) .word(0xb6476bcb) @@ -9041,9 +9857,13 @@ main: .word(0x8ca3b067) .word(0xc771e7d3) .word(0xc8f3308b) +#if !defined(PULP) .word(0xfe3ca6db) +#endif .word(0xf5c14927) +#if !defined(PULP) .word(0x9126f08b) +#endif .word(0x0fecf7f7) .word(0x425a7aa7) .word(0x10418607) @@ -9062,7 +9882,9 @@ main: .word(0x436bf53b) .word(0xbbaeafe7) .word(0x34813233) +#if !defined(PULP) .word(0x2b4d38ab) +#endif .word(0x4445752b) .word(0x401a016b) .word(0x8e88b62f) @@ -9095,13 +9917,19 @@ main: .word(0x05613d3b) .word(0xfb71e9af) .word(0x5b489413) +#if !defined(PULP) .word(0x6c4220db) +#endif .word(0x948b8477) .word(0x96125577) +#if !defined(PULP) .word(0x676d658b) +#endif .word(0xd8a4b1ab) .word(0x4f94d057) +#if !defined(PULP) .word(0x3edc7e8b) +#endif .word(0x0841982f) .word(0x15ab9993) .word(0xfdbffd1b) @@ -9112,7 +9940,9 @@ main: .word(0x1d9d0e2f) .word(0xa4b14873) .word(0x4d001e07) +#if !defined(PULP) .word(0xaa1fe0fb) +#endif .word(0xfa9481fb) .word(0xab5ad8f7) .word(0x4f7cf7ab) @@ -9135,7 +9965,9 @@ main: .word(0xea3f78bb) .word(0x974cc4f7) .word(0x8a211aa7) +#if !defined(PULP) .word(0xfd5f6a8b) +#endif .word(0x97507253) .word(0x22713d53) .word(0x4cadf1ab) @@ -9154,7 +9986,9 @@ main: .word(0x6a119a07) .word(0x353b3a7b) .word(0xee6f7e07) +#if !defined(PULP) .word(0x1500fb8b) +#endif .word(0x3828d9e7) .word(0x030df61b) .word(0x9a30c087) @@ -9174,7 +10008,9 @@ main: .word(0xd8001467) .word(0xf0c2d82b) .word(0x6726a31b) +#if !defined(PULP) .word(0xb13b638b) +#endif .word(0xde8b251b) .word(0x5cc8d813) .word(0xa3b851bb) @@ -9185,13 +10021,17 @@ main: .word(0xbc7b1093) .word(0x69adf423) .word(0x584328d3) +#if !defined(PULP) .word(0xfd27b55b) +#endif .word(0xf0cc4a67) .word(0x9af917e7) .word(0x037ebf03) .word(0xc85e9a67) .word(0xe6f37beb) +#if !defined(PULP) .word(0x68af647b) +#endif .word(0xb6118f33) .word(0xf9e0dad7) .word(0xce3c224f) @@ -9291,7 +10131,9 @@ main: .word(0x76fd81f3) .word(0xfd784cab) .word(0x11793ba3) +#if !defined(PULP) .word(0x7393fc8b) +#endif .word(0x47165faf) .word(0xaf9f6023) .word(0x838ac1bb) @@ -9316,7 +10158,9 @@ main: .word(0x37c60153) .word(0x62c08227) .word(0xdda9981b) +#if !defined(PULP) .word(0x50228efb) +#endif .word(0xde5c741b) .word(0xabebc5a3) .word(0x62083323) @@ -9327,7 +10171,9 @@ main: .word(0xcc809093) .word(0x66e50d07) .word(0x7af5a333) +#if !defined(PULP) .word(0xe1d4197b) +#endif .word(0x6f14304f) .word(0x0cfa7b83) .word(0xf4c475a7) @@ -9391,7 +10237,9 @@ main: .word(0x31217b53) .word(0xa7bc4ccb) .word(0x514bc4b3) +#if !defined(PULP) .word(0xbd8bf58b) +#endif .word(0xf4e90f9b) .word(0x7a74e777) .word(0x70368053) @@ -9425,8 +10273,12 @@ main: .word(0x3998ebaf) .word(0x7775db33) .word(0x46024a87) +#if !defined(PULP) .word(0x0d9998fb) +#endif +#if !defined(PULP) .word(0xf080b7db) +#endif .word(0x79553f1b) .word(0xfe2f6743) .word(0x9ab9e09b) @@ -9460,7 +10312,9 @@ main: .word(0x60b0c633) .word(0xfe9cf02b) .word(0x491440e7) +#if !defined(PULP) .word(0xb57e6e0b) +#endif .word(0xf6d8f6f7) .word(0x80b2cb27) .word(0xb9ff4f23) @@ -9512,7 +10366,9 @@ main: .word(0xdebe0afb) .word(0xfa69cc53) .word(0xec61cd07) +#if !defined(PULP) .word(0xfc20e80b) +#endif .word(0x147e51ab) .word(0xf566871b) .word(0x369a4487) @@ -9554,7 +10410,9 @@ main: .word(0x268e5b6b) .word(0x014385f3) .word(0xdea5b52b) +#if !defined(PULP) .word(0x612f92fb) +#endif .word(0x602673e7) .word(0xd0321a77) .word(0x5bfe90a7) @@ -9600,7 +10458,9 @@ main: .word(0xeb5ff16b) .word(0x88fa48b3) .word(0x9d8576fb) +#if !defined(PULP) .word(0x1c5f630b) +#endif .word(0xef8d2777) .word(0xffe546c7) .word(0x0bb8b923) @@ -9628,7 +10488,9 @@ main: .word(0xd42c959b) .word(0x282eb69b) .word(0x96800a4b) +#if !defined(PULP) .word(0x5ac3bb5b) +#endif .word(0xc2ff65ab) .word(0xe911d3ab) .word(0xea73332f) @@ -9641,7 +10503,9 @@ main: .word(0x92b0ec53) .word(0x3ae94573) .word(0x54d75f1b) +#if !defined(PULP) .word(0x06c57afb) +#endif .word(0xe72c7fc3) .word(0xd3ba762f) .word(0xdceae7af) @@ -9689,9 +10553,13 @@ main: .word(0x9e9cd13b) .word(0xb2b49353) .word(0xfbd97ef7) +#if !defined(PULP) .word(0x3400462b) +#endif .word(0x1fa4b477) +#if !defined(PULP) .word(0xeb8b21db) +#endif .word(0xcc4a7deb) .word(0x7148c9a3) .word(0x48d59eb3) @@ -9706,7 +10574,9 @@ main: .word(0xe8b2f89b) .word(0x4ec98457) .word(0xc029a89b) +#if !defined(PULP) .word(0x5218e27b) +#endif .word(0xf8798687) .word(0x52c5b87b) .word(0x19727d23) @@ -9738,7 +10608,9 @@ main: .word(0xe2565aeb) .word(0x0139c56b) .word(0x41d6f067) +#if !defined(PULP) .word(0x3e61e08b) +#endif .word(0xc4ccc32f) .word(0xffcd629b) .word(0x32b0e3f7) @@ -9768,7 +10640,9 @@ main: .word(0x7bec2fd7) .word(0x6a7c42ab) .word(0x4a571c1b) +#if !defined(PULP) .word(0x902f16fb) +#endif .word(0x4b7e5613) .word(0xda16cd67) .word(0xc773cd2b) @@ -9801,7 +10675,9 @@ main: .word(0x86aed3c7) .word(0x5646af4f) .word(0x64264ef7) +#if !defined(PULP) .word(0xc3d1f68b) +#endif .word(0x7615bb43) .word(0x76e64733) .word(0xa640390b) @@ -9818,9 +10694,13 @@ main: .word(0xb521eea3) .word(0xc9491507) .word(0x52d2dee7) +#if !defined(PULP) .word(0x7672720b) +#endif .word(0xecc23c2f) +#if !defined(PULP) .word(0x41e23cab) +#endif .word(0x927391eb) .word(0x2598bdbb) .word(0x5ecaecbb) @@ -9829,7 +10709,9 @@ main: .word(0xeee1c96b) .word(0x9cc6bd6b) .word(0xfc2fd8f7) +#if !defined(PULP) .word(0x39aefa8b) +#endif .word(0xce09f6eb) .word(0x6e70ee3b) .word(0x361dcbe7) @@ -9851,7 +10733,9 @@ main: .word(0xe579f1d3) .word(0xc9383477) .word(0x56b8f6d7) +#if !defined(PULP) .word(0x051ff6fb) +#endif .word(0x8f794253) .word(0x267eee27) .word(0xacbdb357) @@ -9952,14 +10836,22 @@ main: .word(0x9066f5a7) .word(0x7c0553af) .word(0xcca2d7af) +#if !defined(PULP) .word(0x7276a05b) +#endif .word(0x18a6521b) .word(0x5d91c81b) .word(0xfc833a7b) .word(0xe49daae7) +#if !defined(PULP) .word(0x47f12adb) +#endif +#if !defined(PULP) .word(0x2945e08b) +#endif +#if !defined(PULP) .word(0x84e2e00b) +#endif .word(0x59b8fabb) .word(0xff348b07) .word(0x1ea5b383) @@ -10000,7 +10892,9 @@ main: .word(0x45513fab) .word(0xa2130e33) .word(0x4473ce77) +#if !defined(PULP) .word(0x88c6bd2b) +#endif .word(0x4dc33c7b) .word(0xaed39a1b) .word(0x2322efd3) @@ -10097,12 +10991,18 @@ main: .word(0xa8a728eb) .word(0x374d4057) .word(0x2928aaeb) +#if !defined(PULP) .word(0x48030c7b) +#endif .word(0x6f41799b) +#if !defined(PULP) .word(0x05d1618b) +#endif .word(0x89fea6fb) .word(0x634f0673) +#if !defined(PULP) .word(0xfe0f798b) +#endif .word(0xd68f3653) .word(0x02edfa67) .word(0xc7d6f353) @@ -10142,7 +11042,9 @@ main: .word(0xfa3a86bb) .word(0x70b3e877) .word(0x7c62e5ab) +#if !defined(PULP) .word(0x4cab610b) +#endif .word(0x5e414173) .word(0xb17472d3) .word(0xec4a2153) @@ -10170,7 +11072,9 @@ main: .word(0x30ff3eb3) .word(0xd68b04cb) .word(0x71b4342f) +#if !defined(PULP) .word(0x2ba96e7b) +#endif .word(0x4241c8a3) .word(0xccc9687b) .word(0x9bb289d7) @@ -10195,11 +11099,15 @@ main: .word(0x0466287b) .word(0x4fb6cdeb) .word(0xa24739fb) +#if !defined(PULP) .word(0x096468fb) +#endif .word(0x15e5bd9b) .word(0xdcd56f53) .word(0xd6b7ce73) +#if !defined(PULP) .word(0x2c8e007b) +#endif .word(0x363e5a33) .word(0x087ef803) .word(0x41c1c377) @@ -10237,7 +11145,9 @@ main: .word(0x459d7fbb) .word(0x06190e73) .word(0xd7d576c3) +#if !defined(PULP) .word(0x4ab825db) +#endif .word(0xee98214b) .word(0x60f2fdeb) .word(0x014837fb) @@ -10252,7 +11162,9 @@ main: .word(0xbe13184f) .word(0x2fce05af) .word(0x207773bb) +#if !defined(PULP) .word(0x6100638b) +#endif .word(0x78a29aaf) .word(0x8bf6bfa3) .word(0x5634dd23) @@ -10329,7 +11241,9 @@ main: .word(0x345bf29b) .word(0x46cfc42f) .word(0x772f7ec7) +#if !defined(PULP) .word(0x96fc668b) +#endif .word(0xf89d1493) .word(0x52d771e7) .word(0x604aad77) @@ -10358,7 +11272,9 @@ main: .word(0x39c19fa7) .word(0x3ea4a42f) .word(0x686456eb) +#if !defined(PULP) .word(0x827233ab) +#endif .word(0x32c07e07) .word(0x5653f287) .word(0xbd2fba7b) @@ -10377,19 +11293,25 @@ main: .word(0xae16084b) .word(0x03bd5f87) .word(0x3adc52af) +#if !defined(PULP) .word(0x882beb7b) +#endif .word(0xdfff90c3) .word(0xfe6a0847) .word(0x71ef3ffb) .word(0x173f7653) .word(0xb1fa13d3) +#if !defined(PULP) .word(0x3963c62b) +#endif .word(0xc1e9d007) .word(0x7060789b) .word(0xc5a8b7ab) .word(0x810dfaa3) .word(0x92b7b867) +#if !defined(PULP) .word(0xaab76b7b) +#endif .word(0xf577dc2b) .word(0x0ce4b423) .word(0xa33e79af) @@ -10421,10 +11343,14 @@ main: .word(0xd59e5267) .word(0x659979a3) .word(0x34c5fa67) +#if !defined(PULP) .word(0xc2b7628b) +#endif .word(0x6deef667) .word(0x0d5ff5b3) +#if !defined(PULP) .word(0x7094e20b) +#endif .word(0xbfb55d43) .word(0xf4b8796b) .word(0xca90dfaf) @@ -10468,7 +11394,9 @@ main: .word(0x65f4d0d7) .word(0x8cacff57) .word(0xfc5545a7) +#if !defined(PULP) .word(0x32626e8b) +#endif .word(0x4190e19b) .word(0xbecc071b) .word(0x9e4a54ab) @@ -10508,7 +11436,9 @@ main: .word(0x97217253) .word(0x1735be3b) .word(0x871d9e87) +#if !defined(PULP) .word(0x2464730b) +#endif .word(0x5d9dda53) .word(0x3b75b6e7) .word(0x9f88cf47) @@ -10543,7 +11473,9 @@ main: .word(0x84703103) .word(0x969371cb) .word(0x51a73deb) +#if !defined(PULP) .word(0x685d768b) +#endif .word(0x9a40f223) .word(0x1cbb0107) .word(0xdf593bd7) @@ -10555,7 +11487,9 @@ main: .word(0x248b88b3) .word(0xd77b5b13) .word(0x1271e6a3) +#if !defined(PULP) .word(0xd06279fb) +#endif .word(0x81a683a7) .word(0xef0c18d7) .word(0xd3d5ebeb) @@ -10593,7 +11527,9 @@ main: .word(0x1d414df7) .word(0xfbe2db3b) .word(0x5af46fa3) +#if !defined(PULP) .word(0xea3028db) +#endif .word(0xdfeb6f3b) .word(0x07293b1b) .word(0xff682757) @@ -10605,7 +11541,9 @@ main: .word(0x5560d6eb) .word(0xf555c3f7) .word(0xe578b667) +#if !defined(PULP) .word(0xf7ae618b) +#endif .word(0x6ece414f) .word(0x98f15fb3) .word(0x9af4946b) @@ -10680,21 +11618,27 @@ main: .word(0x302c00eb) .word(0xc3420457) .word(0x1ec3bec3) +#if !defined(PULP) .word(0x26cbfe8b) +#endif .word(0xce1419a7) .word(0x13cd6067) .word(0x95feab57) .word(0x6359162f) .word(0x3ffbdf43) .word(0xf503a77b) +#if !defined(PULP) .word(0x5f67a75b) +#endif .word(0xf60c66cb) .word(0xc9083d8b) .word(0x465c9e77) .word(0xae466db3) .word(0xf353adf7) .word(0xa203fa6b) +#if !defined(PULP) .word(0x61da82fb) +#endif .word(0x9a05efbb) .word(0x8068131b) .word(0xb5e2e71b) @@ -10705,12 +11649,16 @@ main: .word(0x92395b93) .word(0x70dddd2f) .word(0x0cf0eeeb) +#if !defined(PULP) .word(0xd2eb7f8b) +#endif .word(0xff0a8573) .word(0x16e192a7) .word(0xf02063a7) .word(0x3ea25bc3) +#if !defined(PULP) .word(0x329afa0b) +#endif .word(0x0644604b) .word(0x61783b83) .word(0x85c9b83b) @@ -10720,7 +11668,9 @@ main: .word(0xc6f58c53) .word(0x7697fec3) .word(0xdf33614f) +#if !defined(PULP) .word(0x18636e8b) +#endif .word(0xfb66aebb) .word(0xf5d07e57) .word(0xf399fd33) @@ -10735,7 +11685,9 @@ main: .word(0x59a893bb) .word(0xdf83259b) .word(0xe10fcf1b) +#if !defined(PULP) .word(0x4017657b) +#endif .word(0xf50df083) .word(0x227f34af) .word(0xb3ba9a7b) @@ -10744,7 +11696,9 @@ main: .word(0xa703807b) .word(0x9b525f77) .word(0xabee21af) +#if !defined(PULP) .word(0xcf19670b) +#endif .word(0xd512b777) .word(0x0dc5d0e7) .word(0x526479e7) @@ -10772,7 +11726,9 @@ main: .word(0x72c2221b) .word(0xb646d74b) .word(0x7f92ca2b) +#if !defined(PULP) .word(0xd13fb85b) +#endif .word(0x41097cd3) .word(0x4eb152d7) .word(0x29ec1b9b) @@ -10780,11 +11736,15 @@ main: .word(0x50d955ab) .word(0xe01a2b7b) .word(0x7a00e52b) +#if !defined(PULP) .word(0xcaf4bddb) +#endif .word(0x6de4402b) .word(0xdf3b6f4f) .word(0xe4f33afb) +#if !defined(PULP) .word(0x20bdf4fb) +#endif .word(0x9ecffb3b) .word(0x4d792457) .word(0x7b84d253) @@ -10808,9 +11768,13 @@ main: .word(0x3cd3f8a3) .word(0x0f12eb23) .word(0x12d7b07b) +#if !defined(PULP) .word(0xe3377c8b) +#endif .word(0xb7778007) +#if !defined(PULP) .word(0xd284345b) +#endif .word(0xb918f8d3) .word(0xdf187477) .word(0x2e73cbeb) @@ -10844,7 +11808,9 @@ main: .word(0xbf22b8bb) .word(0xe637dc47) .word(0xa375dad7) +#if !defined(PULP) .word(0x1aaa770b) +#endif .word(0x0ca49aeb) .word(0xceacf7d7) .word(0xefd16c9b) @@ -10905,7 +11871,9 @@ main: .word(0xb4620ba7) .word(0x65b7e453) .word(0xb5f55c33) +#if !defined(PULP) .word(0x0365e37b) +#endif .word(0xad6c526b) .word(0x1ffa943b) .word(0x492faf6b) @@ -10924,7 +11892,9 @@ main: .word(0xf7234473) .word(0x60ba60f7) .word(0x1d09cfaf) +#if !defined(PULP) .word(0xd1a23c5b) +#endif .word(0xc296d467) .word(0xcd788df3) .word(0x54417653) @@ -10985,7 +11955,9 @@ main: .word(0xec1d0127) .word(0x354c9467) .word(0xe7b38b4f) +#if !defined(PULP) .word(0xb414e08b) +#endif .word(0x3e7a3d03) .word(0x6dd158d3) .word(0x6792c3ab) @@ -10998,7 +11970,9 @@ main: .word(0xbc89fe87) .word(0x20c43677) .word(0x20576ca3) +#if !defined(PULP) .word(0x0c968c7b) +#endif .word(0x1b74bd1b) .word(0x6da88d2f) .word(0x6214cf3b) @@ -11044,7 +12018,9 @@ main: .word(0x8f8131e7) .word(0xb7236b53) .word(0x79c6cf67) +#if !defined(PULP) .word(0x4cbfe50b) +#endif .word(0x955e1677) .word(0x317bbd3b) .word(0x26ca48a3) @@ -11061,7 +12037,9 @@ main: .word(0x3b0ddc57) .word(0x45ce8c07) .word(0x82ec666b) +#if !defined(PULP) .word(0xfdaceb8b) +#endif .word(0x2ce59b9b) .word(0x1cf23dfb) .word(0xa42831a3) @@ -11069,7 +12047,9 @@ main: .word(0x2773d947) .word(0xcda10507) .word(0xdb6dde53) +#if !defined(PULP) .word(0x83a530ab) +#endif .word(0x4cad2667) .word(0x7ea5fdb3) .word(0x866ab557) @@ -11080,7 +12060,9 @@ main: .word(0x930c4223) .word(0x04b075a7) .word(0x43124fa7) +#if !defined(PULP) .word(0xb82c38fb) +#endif .word(0xfdfb9007) .word(0x3b44742b) .word(0x86ddd493) @@ -11094,8 +12076,12 @@ main: .word(0x7aa039a3) .word(0x59f77603) .word(0x88915967) +#if !defined(PULP) .word(0xa75e690b) +#endif +#if !defined(PULP) .word(0x65897b8b) +#endif .word(0xc4054cf7) .word(0xea3dd207) .word(0x888449f7) @@ -11132,15 +12118,21 @@ main: .word(0xcbdcbe1b) .word(0xb711614b) .word(0x96ec7127) +#if !defined(PULP) .word(0x19720f7b) +#endif .word(0xbf61f52f) .word(0x99e0d893) .word(0xa826daa3) +#if !defined(PULP) .word(0x8a31b72b) +#endif .word(0x102472eb) .word(0xb69e49b3) .word(0xc2b494d7) +#if !defined(PULP) .word(0x2a85bbab) +#endif .word(0xe77a219b) .word(0x57c803bb) .word(0xe86ae52b) @@ -11155,7 +12147,9 @@ main: .word(0xfcf88c2f) .word(0x7e859977) .word(0x92b4c3f7) +#if !defined(PULP) .word(0xf0e9b8db) +#endif .word(0x463c66f7) .word(0x887cc42b) .word(0x50c7e02f) @@ -11172,7 +12166,9 @@ main: .word(0x4ed3317b) .word(0x824d59ab) .word(0x89efafb3) +#if !defined(PULP) .word(0x495a9ffb) +#endif .word(0xa1addc13) .word(0x517aa71b) .word(0xdc5680f7) @@ -11210,7 +12206,9 @@ main: .word(0x8ea96ccf) .word(0x6fdd8b77) .word(0x051d0e77) +#if !defined(PULP) .word(0x985e82fb) +#endif .word(0x494869eb) .word(0x32c8a8af) .word(0xffe4492f) @@ -11236,7 +12234,9 @@ main: .word(0x343acd77) .word(0xf7ab08bb) .word(0x2df733af) +#if !defined(PULP) .word(0x7a4c2fdb) +#endif .word(0xce42fe7b) .word(0xb93bcd87) .word(0x9cc34d57) @@ -11323,7 +12323,9 @@ main: .word(0xaaa5b01b) .word(0x5fd07253) .word(0x0122fa2b) +#if !defined(PULP) .word(0xaba9f00b) +#endif .word(0x9ccecf2b) .word(0x867210fb) .word(0xa6a6de53) @@ -11379,7 +12381,9 @@ main: .word(0x61bcfd27) .word(0x6a4b586b) .word(0xc2f2ced7) +#if !defined(PULP) .word(0x61ee6c8b) +#endif .word(0xad1b1d9b) .word(0x9dafe9af) .word(0xbb84139b) @@ -11392,7 +12396,9 @@ main: .word(0x31a01a33) .word(0xb2e3f8eb) .word(0xc2ba1fa7) +#if !defined(PULP) .word(0xcec4fe0b) +#endif .word(0xbc3b636b) .word(0x963041c3) .word(0xe3215287) @@ -11431,7 +12437,9 @@ main: .word(0x40756233) .word(0xc554d527) .word(0xb4f72db3) +#if !defined(PULP) .word(0xe3b6f38b) +#endif .word(0x721dca3b) .word(0x3280b83b) .word(0x4bb6ca33) @@ -11441,7 +12449,9 @@ main: .word(0x5b73492b) .word(0x3665894b) .word(0x1ef0ac7b) +#if !defined(PULP) .word(0x9386e17b) +#endif .word(0xac683a2b) .word(0xc364d193) .word(0xb6d1cdbb) @@ -11449,12 +12459,16 @@ main: .word(0xd2e06d2f) .word(0xb23c9fe7) .word(0xf2fb0dbb) +#if !defined(PULP) .word(0x607e658b) +#endif .word(0x23f2c473) .word(0x5652c643) .word(0xc6a0b54b) .word(0x6dd8d3a7) +#if !defined(PULP) .word(0x429efdfb) +#endif .word(0xf9866453) .word(0xcdd1b6bb) .word(0x0ef6f153) @@ -11463,7 +12477,9 @@ main: .word(0x12ae2cfb) .word(0x5e4737b3) .word(0xfe4ccf73) +#if !defined(PULP) .word(0x9869757b) +#endif .word(0x3500d913) .word(0xea2c1ad3) .word(0x7e101fcf) @@ -11536,10 +12552,14 @@ main: .word(0x1263feeb) .word(0x45c87ad3) .word(0x3b295fab) +#if !defined(PULP) .word(0x78ea24db) +#endif .word(0xdda3caf7) .word(0x82e00bb3) +#if !defined(PULP) .word(0x79f36d8b) +#endif .word(0x6c6793d7) .word(0xffc62577) .word(0x3787f847) @@ -11600,7 +12620,9 @@ main: .word(0xd5ae1693) .word(0xb26db423) .word(0x0f155fcf) +#if !defined(PULP) .word(0xcfd7c62b) +#endif .word(0xbfa1d047) .word(0xa29f6d23) .word(0x5e96c643) @@ -11613,7 +12635,9 @@ main: .word(0x764b033b) .word(0xd32ad127) .word(0xae103d43) +#if !defined(PULP) .word(0x261bee7b) +#endif .word(0x822cb70b) .word(0xd94fde57) .word(0x6a84c8e7) @@ -11629,7 +12653,9 @@ main: .word(0x650e9693) .word(0xaa6cc7e7) .word(0x1e6f374b) +#if !defined(PULP) .word(0x8935077b) +#endif .word(0xec42e6e7) .word(0xfebabdd7) .word(0x7342931b) @@ -11649,7 +12675,9 @@ main: .word(0x4b1df89b) .word(0x9f7efa23) .word(0x41f99b33) +#if !defined(PULP) .word(0x78a46a8b) +#endif .word(0xda65c2a7) .word(0x7137aeb3) .word(0x411049a7) @@ -11678,7 +12706,9 @@ main: .word(0x0f3d322b) .word(0x88749c87) .word(0x2ca815af) +#if !defined(PULP) .word(0x774c700b) +#endif .word(0xb735c74f) .word(0x48080387) .word(0x27d93a2f) @@ -11688,7 +12718,9 @@ main: .word(0x7b3b652f) .word(0x4da7bc2f) .word(0xb4366deb) +#if !defined(PULP) .word(0x69226e0b) +#endif .word(0x17ccb9eb) .word(0x2ea9ce07) .word(0x84e16457) @@ -11753,7 +12785,9 @@ main: .word(0xce813cfb) .word(0x99245de7) .word(0x057a1787) +#if !defined(PULP) .word(0x72faa5db) +#endif .word(0xf75c9147) .word(0x0f92f4c7) .word(0x85579ca7) @@ -11772,7 +12806,9 @@ main: .word(0xe10a68fb) .word(0x465dbff7) .word(0x765ed7bb) +#if !defined(PULP) .word(0x076ff37b) +#endif .word(0xbd29ef07) .word(0xff077803) .word(0xfa65de2b) @@ -11780,15 +12816,21 @@ main: .word(0x6114dbbb) .word(0x9ea4f53b) .word(0xbf295d53) +#if !defined(PULP) .word(0x48cb82fb) +#endif +#if !defined(PULP) .word(0x00b3332b) +#endif .word(0xb9c84f07) .word(0x53cf14bb) .word(0x6afec2f3) .word(0x9fc962d3) .word(0xb944fb33) .word(0x3227aed3) +#if !defined(PULP) .word(0xf59dbadb) +#endif .word(0x8ef9c4f7) .word(0xf3d27577) .word(0xd4507127) @@ -11888,7 +12930,9 @@ main: .word(0x156977b3) .word(0x36a6bac7) .word(0xd8102677) +#if !defined(PULP) .word(0x4d25bf5b) +#endif .word(0xafbb843b) .word(0xfb414677) .word(0xec666bbb) @@ -11904,7 +12948,9 @@ main: .word(0xe3966b7b) .word(0x72b253e7) .word(0x49e58c9b) +#if !defined(PULP) .word(0x8aa9750b) +#endif .word(0x3e39c2c7) .word(0xc7639d6b) .word(0xd63400af) @@ -11915,7 +12961,9 @@ main: .word(0x94c68ebb) .word(0xf6a161c7) .word(0xecb17f2b) +#if !defined(PULP) .word(0x5edf2adb) +#endif .word(0xa0d2371b) .word(0x3d00ec9b) .word(0xc6b91d47) @@ -11953,7 +13001,9 @@ main: .word(0x6bf78257) .word(0x607a0f2f) .word(0x1fce759b) +#if !defined(PULP) .word(0xcfeeb85b) +#endif .word(0xb003ed77) .word(0xa664172f) .word(0xa527791b) @@ -11972,16 +13022,22 @@ main: .word(0x07d4913b) .word(0x1ef109bb) .word(0xad52f7b3) +#if !defined(PULP) .word(0xbbc6f88b) +#endif .word(0xc1b8388b) .word(0xa86d2c67) .word(0xcb21c853) +#if !defined(PULP) .word(0xc2a8f87b) +#endif .word(0x8128fbab) .word(0xd3db4f27) .word(0x0f377ee7) .word(0x2056d9eb) +#if !defined(PULP) .word(0xaeeafa8b) +#endif .word(0x5f73b90b) .word(0x3effd14f) .word(0x7d8cd96b) @@ -12005,7 +13061,9 @@ main: .word(0x7e8ccc1b) .word(0xa3b2521b) .word(0x864485c7) +#if !defined(PULP) .word(0xfadbe98b) +#endif .word(0x4005226b) .word(0xc9038473) .word(0xa8076f23) @@ -12040,14 +13098,18 @@ main: .word(0x03b84b2f) .word(0xdc5bfa07) .word(0xf5786467) +#if !defined(PULP) .word(0x11e2e28b) +#endif .word(0xad62daa7) .word(0xb12b42f7) .word(0x44f5c2f7) .word(0xe16264f7) .word(0x756c7ed3) .word(0x174fdfeb) +#if !defined(PULP) .word(0x70a0fb8b) +#endif .word(0x5132601b) .word(0x5c83feaf) .word(0xaec2d953) @@ -12064,7 +13126,9 @@ main: .word(0xd7574687) .word(0x8ba6f02f) .word(0x874a77d3) +#if !defined(PULP) .word(0x9d0bee0b) +#endif .word(0x13a6b283) .word(0xbee9e3f7) .word(0x977b60d7) @@ -12096,7 +13160,9 @@ main: .word(0x9efc4bd3) .word(0xcfb89aeb) .word(0x087e463b) +#if !defined(PULP) .word(0xd32e285b) +#endif .word(0x4e6430eb) .word(0x49521e1b) .word(0x575e9c4b) @@ -12106,7 +13172,9 @@ main: .word(0xa1d1fea3) .word(0xcbd64f23) .word(0x7f08d56b) +#if !defined(PULP) .word(0x0dbe857b) +#endif .word(0x95d27e33) .word(0xcbe1542f) .word(0x4603461b) @@ -12116,7 +13184,9 @@ main: .word(0xc2817abb) .word(0xb519d3e7) .word(0x9e3dbb0b) +#if !defined(PULP) .word(0xb97be68b) +#endif .word(0xf4085a87) .word(0xa3d2f1f7) .word(0x0db7e377) @@ -12129,7 +13199,9 @@ main: .word(0x30811dd3) .word(0x4770f333) .word(0x827fb2e7) +#if !defined(PULP) .word(0x69dbedfb) +#endif .word(0x23281787) .word(0xf994fd53) .word(0xb7c9e723) @@ -12151,12 +13223,20 @@ main: .word(0x75fdfc57) .word(0xe79718cb) .word(0x3642102f) +#if !defined(PULP) .word(0x5e6625db) +#endif +#if !defined(PULP) .word(0xeb9ca35b) +#endif .word(0xd4a45f77) .word(0xa6b04bc7) +#if !defined(PULP) .word(0xc2c62ddb) +#endif +#if !defined(PULP) .word(0x08c4bdab) +#endif .word(0xb62adc77) .word(0x01e2ef77) .word(0x5e4b5907) @@ -12196,7 +13276,9 @@ main: .word(0x8bfe2cfb) .word(0x12909bbb) .word(0x61ab8e27) +#if !defined(PULP) .word(0x7f85235b) +#endif .word(0x4ca51d33) .word(0x5e92bd67) .word(0x385b9053) @@ -12215,7 +13297,9 @@ main: .word(0x04527d03) .word(0xf87054f7) .word(0x6a3975bb) +#if !defined(PULP) .word(0xc83efa0b) +#endif .word(0x325674d3) .word(0xfbffc453) .word(0xd81d3d03) @@ -12242,7 +13326,9 @@ main: .word(0x037b65a7) .word(0x0cd6fbe7) .word(0x4ac27a23) +#if !defined(PULP) .word(0x2d1693fb) +#endif .word(0x761d69a7) .word(0xf27ea6eb) .word(0x74876f33) @@ -12295,7 +13381,9 @@ main: .word(0x00effb27) .word(0x2f870f4b) .word(0xcbd0d413) +#if !defined(PULP) .word(0x04ccee8b) +#endif .word(0x08f70b3b) .word(0x4deeb31b) .word(0x9c779853) @@ -12359,8 +13447,12 @@ main: .word(0xa331310b) .word(0x8cb9abaf) .word(0x97655f57) +#if !defined(PULP) .word(0x2a74fc0b) +#endif +#if !defined(PULP) .word(0xf4bcfd8b) +#endif .word(0xb28c3b2f) .word(0x008d7f27) .word(0x4b14da23) @@ -12370,8 +13462,12 @@ main: .word(0x7bd3f73b) .word(0xf8d9b27b) .word(0xa095d86b) +#if !defined(PULP) .word(0x217de5fb) +#endif +#if !defined(PULP) .word(0x35be608b) +#endif .word(0x17c05d1b) .word(0x56a23767) .word(0xc1afe023) @@ -12381,7 +13477,9 @@ main: .word(0xa1c878af) .word(0x5ae8382f) .word(0xc1981ed3) +#if !defined(PULP) .word(0x3ad8628b) +#endif .word(0xd09dfcd3) .word(0x5ac1b083) .word(0x8b86e22b) @@ -12389,9 +13487,13 @@ main: .word(0x8a61cdf7) .word(0x29ca0df7) .word(0x270610d3) +#if !defined(PULP) .word(0x5e6f462b) +#endif .word(0xb7c85547) +#if !defined(PULP) .word(0xb9ede50b) +#endif .word(0xfd6138fb) .word(0xca575823) .word(0x7622112f) @@ -12414,7 +13516,9 @@ main: .word(0x16f8e0a3) .word(0x577e574b) .word(0xd8ad7023) +#if !defined(PULP) .word(0xc078877b) +#endif .word(0xb252cdb3) .word(0x977c31ab) .word(0xa82a9b9b) @@ -12436,7 +13540,9 @@ main: .word(0xc8cc2ee7) .word(0xcbe2313b) .word(0xef9ebd53) +#if !defined(PULP) .word(0xca6ff9fb) +#endif .word(0x37c695af) .word(0x1253347b) .word(0x6501c2af) @@ -12456,15 +13562,21 @@ main: .word(0x2b01576b) .word(0x7667827b) .word(0xec78b30b) +#if !defined(PULP) .word(0x6315b9db) +#endif .word(0x73051be7) .word(0xcda96e6b) .word(0x5ed03ba3) .word(0x49acd21b) .word(0x2fdc8257) +#if !defined(PULP) .word(0x89c33f2b) +#endif .word(0x2614c1e7) +#if !defined(PULP) .word(0xeacb30db) +#endif .word(0xa242def7) .word(0x8f403ee7) .word(0x68aa2f2f) @@ -12478,7 +13590,9 @@ main: .word(0x6dbc99a7) .word(0x4245b5bb) .word(0x954e926b) +#if !defined(PULP) .word(0xfa21bb5b) +#endif .word(0x7974daeb) .word(0xaf1f6067) .word(0xd788363b) @@ -12498,7 +13612,9 @@ main: .word(0xe33537fb) .word(0xdfa00f73) .word(0x72cecd67) +#if !defined(PULP) .word(0x4b58778b) +#endif .word(0x7dfdb08b) .word(0x02f28f2f) .word(0xca5ec41b) @@ -12524,13 +13640,17 @@ main: .word(0x8dd2b153) .word(0xadf1ea7b) .word(0x48bae6bb) +#if !defined(PULP) .word(0x03cb7c8b) +#endif .word(0xdeaa7243) .word(0x63726fa3) .word(0x3df598b3) .word(0xdfa87177) .word(0xa91fc4e7) +#if !defined(PULP) .word(0x4701addb) +#endif .word(0x029bc8af) .word(0x2ef9d313) .word(0x086bbfaf) @@ -12554,7 +13674,9 @@ main: .word(0x581f121b) .word(0xf2726e3b) .word(0xdf850687) +#if !defined(PULP) .word(0x2c17617b) +#endif .word(0x06264053) .word(0xfc7a5f23) .word(0xb7adc8e7) @@ -12581,7 +13703,9 @@ main: .word(0x6f2ab70b) .word(0x13fefa67) .word(0x9e24bf6b) +#if !defined(PULP) .word(0x8f88608b) +#endif .word(0xe46f0a2f) .word(0x67c1a453) .word(0x7f8bb653) @@ -12634,7 +13758,9 @@ main: .word(0x191c683b) .word(0x6097390b) .word(0x468903bb) +#if !defined(PULP) .word(0x21ea6e8b) +#endif .word(0xedbf19af) .word(0xb30814d3) .word(0xa69cdda3) @@ -12645,7 +13771,9 @@ main: .word(0x16bbcba7) .word(0x56b97dcf) .word(0x0e9fd4d3) +#if !defined(PULP) .word(0x94b5720b) +#endif .word(0x3763a343) .word(0x3a0c7abb) .word(0xce9f1aeb) @@ -12699,14 +13827,18 @@ main: .word(0x4fa15153) .word(0x6a4d7a2b) .word(0xe5cd6ebb) +#if !defined(PULP) .word(0x34b4bf2b) +#endif .word(0xff5ca8f7) .word(0x951da1eb) .word(0x19495c6b) .word(0x081a5c13) .word(0x44c799f7) .word(0x26117df7) +#if !defined(PULP) .word(0x55d0e60b) +#endif .word(0xb6dc11d3) .word(0x8892fdf7) .word(0x0a4c137b) @@ -12731,12 +13863,16 @@ main: .word(0x1860e707) .word(0x230d4467) .word(0x646ddf57) +#if !defined(PULP) .word(0xbe51fc0b) +#endif .word(0xb3814ad3) .word(0xb4c8b223) .word(0xe11d8af3) .word(0xd7f39547) +#if !defined(PULP) .word(0x73187c0b) +#endif .word(0x7718342f) .word(0x9b7b5d93) .word(0x34c17627) @@ -12751,13 +13887,19 @@ main: .word(0xd65b504b) .word(0xaa195977) .word(0x17bbf0b3) +#if !defined(PULP) .word(0x5a3d780b) +#endif .word(0x214840b3) .word(0x4ad29b57) .word(0x31d1e1a3) +#if !defined(PULP) .word(0x7791342b) +#endif .word(0x8ed306d3) +#if !defined(PULP) .word(0x50b397fb) +#endif .word(0x186ccd33) .word(0xc58e789b) .word(0x08b871e7) @@ -12779,8 +13921,12 @@ main: .word(0xbe72237b) .word(0x16837eeb) .word(0xa64ada9b) +#if !defined(PULP) .word(0x43b7660b) +#endif +#if !defined(PULP) .word(0xc0a5027b) +#endif .word(0x7d0505b3) .word(0x92ac901b) .word(0x76ea9ef7) @@ -12891,7 +14037,9 @@ main: .word(0xba7ab30b) .word(0x17d416cb) .word(0x553ce423) +#if !defined(PULP) .word(0xa9cc887b) +#endif .word(0x84e8ef77) .word(0xe7e81657) .word(0x16f0a633) @@ -12942,7 +14090,9 @@ main: .word(0x17257743) .word(0x6f276ecb) .word(0xebe0b30b) +#if !defined(PULP) .word(0x63ed2ddb) +#endif .word(0xd211cdaf) .word(0x9947ca2b) .word(0xdda05b1b) @@ -12981,7 +14131,9 @@ main: .word(0x326229bb) .word(0xe409293b) .word(0x04d57427) +#if !defined(PULP) .word(0x6bd065fb) +#endif .word(0x25de7407) .word(0x341e251b) .word(0x88174223) @@ -13050,7 +14202,9 @@ main: .word(0xb069717b) .word(0xfbb7d7e7) .word(0xd2984c57) +#if !defined(PULP) .word(0x344946ab) +#endif .word(0xc4db65f7) .word(0xe8f7ebb3) .word(0xc68986f3) @@ -13072,7 +14226,9 @@ main: .word(0x52905daf) .word(0xd9a9bd77) .word(0xb4df9527) +#if !defined(PULP) .word(0x8160f4fb) +#endif .word(0x373207eb) .word(0x2f83504f) .word(0x96141e4b) @@ -13147,7 +14303,9 @@ main: .word(0x6456f13b) .word(0x75ff4b2b) .word(0x587b4877) +#if !defined(PULP) .word(0xb542fc0b) +#endif .word(0xd792d823) .word(0x42e5279b) .word(0x0aa4bfaf) @@ -13165,7 +14323,9 @@ main: .word(0xf439cfd7) .word(0xc94eb9f7) .word(0x8e414e3b) +#if !defined(PULP) .word(0xcc20728b) +#endif .word(0xcf0c04d7) .word(0xa19d70af) .word(0x8e1c62af) @@ -13183,13 +14343,17 @@ main: .word(0xc73ca6bb) .word(0x68bf5cf7) .word(0x25993757) +#if !defined(PULP) .word(0x1db0edfb) +#endif .word(0xfa9be9d7) .word(0x3a6174a7) .word(0xfe3c4543) .word(0x005d636b) .word(0x695e956b) +#if !defined(PULP) .word(0x24fc7f0b) +#endif .word(0xa2bdb6af) .word(0xb5e1ec07) .word(0xec69fba3) @@ -13208,7 +14372,9 @@ main: .word(0x10ace427) .word(0x2abe4e2f) .word(0x266ea99b) +#if !defined(PULP) .word(0x960446ab) +#endif .word(0x08c48b33) .word(0xd03474f7) .word(0x9b3f3603) @@ -13258,7 +14424,9 @@ main: .word(0xc4ef67d7) .word(0xa22bb053) .word(0x63b00757) +#if !defined(PULP) .word(0x9402fe0b) +#endif .word(0x2556f387) .word(0xc4204a6b) .word(0x0cc21a07) @@ -13305,7 +14473,9 @@ main: .word(0x6ce7451b) .word(0x6c3ac3f3) .word(0x73a7572f) +#if !defined(PULP) .word(0xac29698b) +#endif .word(0x865f5aa7) .word(0xf7468173) .word(0x3225dd13) @@ -13318,7 +14488,9 @@ main: .word(0xd2c05193) .word(0x4c528b73) .word(0xe05c61b3) +#if !defined(PULP) .word(0x263470fb) +#endif .word(0xb74b762b) .word(0xe953ef77) .word(0xf516dc57) @@ -13329,7 +14501,9 @@ main: .word(0x300160b3) .word(0x0fe32dcb) .word(0xee0a6743) +#if !defined(PULP) .word(0x4eaf315b) +#endif .word(0xc69167eb) .word(0xfe47f003) .word(0x7f7d3003) @@ -13351,7 +14525,9 @@ main: .word(0xdf899843) .word(0x73cea52f) .word(0x7188b12f) +#if !defined(PULP) .word(0x4d71688b) +#endif .word(0x4d59961b) .word(0x71095923) .word(0x19ac6927) @@ -13366,13 +14542,17 @@ main: .word(0x8dbbf8f7) .word(0xbffaf31b) .word(0x9c1f46eb) +#if !defined(PULP) .word(0x3fde608b) +#endif .word(0x0d8a2677) .word(0x2cecd587) .word(0x34403177) .word(0x2cabd5e7) .word(0xbb246067) +#if !defined(PULP) .word(0x361c700b) +#endif .word(0x7bb23803) .word(0x0c5213b3) .word(0x6ea754ab) @@ -13381,7 +14561,9 @@ main: .word(0xaa70c5e7) .word(0xd879842f) .word(0x063039ab) +#if !defined(PULP) .word(0x1f26e00b) +#endif .word(0xbf9a981b) .word(0xdf8ecf87) .word(0xbbe30e1b) @@ -13425,15 +14607,21 @@ main: .word(0x8ef14853) .word(0x61a2c46b) .word(0x41c3c83b) +#if !defined(PULP) .word(0x6fe4395b) +#endif +#if !defined(PULP) .word(0x1befb72b) +#endif .word(0xbe10d3bb) .word(0x9f026bab) .word(0x8b7f5bf7) .word(0x3f77034f) .word(0x4ea20d7b) .word(0x139af62b) +#if !defined(PULP) .word(0xa0d6fe8b) +#endif .word(0x09aad02f) .word(0x9b30aed3) .word(0x5e264eab) @@ -13442,12 +14630,16 @@ main: .word(0xd23764a3) .word(0x6a25c173) .word(0xb60ff6cb) +#if !defined(PULP) .word(0xc2a1fe8b) +#endif .word(0xc6b6ebc7) .word(0x9b2ba01b) .word(0x9712e99b) .word(0x2465acb3) +#if !defined(PULP) .word(0xc94c265b) +#endif .word(0x4a985e67) .word(0xd1575eeb) .word(0xead84557) @@ -13463,7 +14655,9 @@ main: .word(0xaeb29b27) .word(0xf5a3fba3) .word(0x78f732b3) +#if !defined(PULP) .word(0xaa7f462b) +#endif .word(0x4a2ec987) .word(0x18bb7e07) .word(0x120a49b3) @@ -13487,9 +14681,13 @@ main: .word(0xda17cf53) .word(0x21265e07) .word(0xe0181607) +#if !defined(PULP) .word(0xc39a35db) +#endif .word(0x9848022f) +#if !defined(PULP) .word(0x741d647b) +#endif .word(0xd9f5e37b) .word(0x684367af) .word(0xea2002f3) @@ -13533,7 +14731,9 @@ main: .word(0x427d3923) .word(0xa5faade7) .word(0x7624b457) +#if !defined(PULP) .word(0x51dee87b) +#endif .word(0x74ca4807) .word(0x5d90cbeb) .word(0xe70d3e67) @@ -13545,7 +14745,9 @@ main: .word(0xf8d50ffb) .word(0x59e3397b) .word(0xe2bd77eb) +#if !defined(PULP) .word(0x61e0877b) +#endif .word(0x70e1a333) .word(0x63ed73a7) .word(0xdb3efa53) @@ -13593,7 +14795,9 @@ main: .word(0xcb052977) .word(0xd3a4c4d3) .word(0x588557af) +#if !defined(PULP) .word(0x3a54650b) +#endif .word(0x57e8b577) .word(0x71f84de7) .word(0x0e9389cb) @@ -13616,7 +14820,9 @@ main: .word(0xb1364ca3) .word(0x674959a7) .word(0x23c9d12f) +#if !defined(PULP) .word(0x14186e0b) +#endif .word(0x419cdef7) .word(0x70721f3b) .word(0xc7d407d7) @@ -13708,7 +14914,9 @@ main: .word(0xf64faceb) .word(0x1bd5cfa7) .word(0x6589d3f7) +#if !defined(PULP) .word(0x137d620b) +#endif .word(0x8463ce33) .word(0x114550eb) .word(0x553d54d7) @@ -13729,7 +14937,9 @@ main: .word(0xcb0027bb) .word(0x8f5a80fb) .word(0xf7afe73b) +#if !defined(PULP) .word(0x63c3b15b) +#endif .word(0x4fd243d3) .word(0xd47bba2f) .word(0x09931627) @@ -13776,13 +14986,17 @@ main: .word(0xb9ab443b) .word(0x5c813ca3) .word(0x89f0e127) +#if !defined(PULP) .word(0xe37eee0b) +#endif .word(0x14b33957) .word(0x28c18e73) .word(0x5c55f987) .word(0xcbb1a13b) .word(0x6fe41a67) +#if !defined(PULP) .word(0x88927efb) +#endif .word(0xbb9e1bd7) .word(0x18f697f7) .word(0xb5ac4f73) @@ -13813,7 +15027,9 @@ main: .word(0xdd441027) .word(0x77935aeb) .word(0x37dd6787) +#if !defined(PULP) .word(0xb24e6e0b) +#endif .word(0x318a662f) .word(0x07ad2c53) .word(0x54d01bb3) @@ -13833,7 +15049,9 @@ main: .word(0x272ee207) .word(0xadfc8faf) .word(0x9fe5814b) +#if !defined(PULP) .word(0x4cca6e8b) +#endif .word(0x2796e267) .word(0xe62d64b3) .word(0x762b0d87) @@ -13878,7 +15096,9 @@ main: .word(0x911eb4fb) .word(0x40f5c487) .word(0xdb4ff5d7) +#if !defined(PULP) .word(0x0386e6fb) +#endif .word(0xed2a1cf7) .word(0x8344c4a7) .word(0x38595e2b) @@ -13893,7 +15113,9 @@ main: .word(0xecbd8cfb) .word(0x313e3603) .word(0x859aa67b) +#if !defined(PULP) .word(0x115e65fb) +#endif .word(0xf15240eb) .word(0x65f415eb) .word(0xb13288eb) @@ -13961,7 +15183,9 @@ main: .word(0x2907e353) .word(0x5ce70cfb) .word(0x3bdd6f77) +#if !defined(PULP) .word(0x529c375b) +#endif .word(0xa4e0846b) .word(0xd73c60eb) .word(0xdf4e064b) @@ -13992,10 +15216,14 @@ main: .word(0xddc50b7b) .word(0xe77c08d7) .word(0x52fcc7d7) +#if !defined(PULP) .word(0xb3e7668b) +#endif .word(0x3ea3db2b) .word(0x0d84c8b3) +#if !defined(PULP) .word(0x3c5aff8b) +#endif .word(0xb3543a83) .word(0xaf3ce87b) .word(0x36c73333) @@ -14038,15 +15266,21 @@ main: .word(0x4ed9366b) .word(0xacc868f7) .word(0x4f3da4cb) +#if !defined(PULP) .word(0x914e70fb) +#endif .word(0x446f0e27) .word(0x4810bc7b) .word(0x4fe9b68b) .word(0x295195af) .word(0x475dacf7) +#if !defined(PULP) .word(0x0303f88b) +#endif .word(0x61cf72b3) +#if !defined(PULP) .word(0x5811a6db) +#endif .word(0x2c830e1b) .word(0xdc52bdf7) .word(0x0a9d0af7) @@ -14070,7 +15304,9 @@ main: .word(0x0eefe887) .word(0x9c7afe33) .word(0x471f2b77) +#if !defined(PULP) .word(0xcd753c5b) +#endif .word(0x812d6da3) .word(0x8031cb9b) .word(0xdfa25ebb) @@ -14083,7 +15319,9 @@ main: .word(0x878ae82b) .word(0x22177b3b) .word(0x6a86f627) +#if !defined(PULP) .word(0x054812fb) +#endif .word(0xff8016c7) .word(0xdd458baf) .word(0x39f42253) @@ -14095,7 +15333,9 @@ main: .word(0xd3b0741b) .word(0x76e68a87) .word(0x9140ba1b) +#if !defined(PULP) .word(0xce59fa8b) +#endif .word(0x92925a53) .word(0xaa49db3b) .word(0x74e5bc57) @@ -14132,7 +15372,9 @@ main: .word(0xf0564b57) .word(0x3029f033) .word(0xe63d24fb) +#if !defined(PULP) .word(0x38e7857b) +#endif .word(0x6ebfffbb) .word(0x89a23633) .word(0x23b16753) @@ -14174,8 +15416,12 @@ main: .word(0x2cf3cf1b) .word(0x56bec62f) .word(0xa785cdd7) +#if !defined(PULP) .word(0xe367f70b) +#endif +#if !defined(PULP) .word(0x556beb0b) +#endif .word(0x515dcc9b) .word(0x0365e7ab) .word(0x6326661b) @@ -14191,7 +15437,9 @@ main: .word(0x903336fb) .word(0x2093b79b) .word(0x2613cec3) +#if !defined(PULP) .word(0xcd1822db) +#endif .word(0x64633477) .word(0xa04cecbb) .word(0xd1700177) @@ -14212,7 +15460,9 @@ main: .word(0xf84e30af) .word(0xf0ab9857) .word(0x032c8277) +#if !defined(PULP) .word(0x30f3757b) +#endif .word(0xa507ba3b) .word(0x5fccf0bb) .word(0x7ffebb33) @@ -14220,7 +15470,9 @@ main: .word(0xb44e19f7) .word(0x86f7fea7) .word(0xcb863183) +#if !defined(PULP) .word(0x8820fe0b) +#endif .word(0xfef28e77) .word(0x8744e4d3) .word(0x09d7a8f7) @@ -14241,7 +15493,9 @@ main: .word(0x88de7ceb) .word(0x752a84d7) .word(0xd700bc03) +#if !defined(PULP) .word(0x002e617b) +#endif .word(0xb07b56d7) .word(0xc683c66b) .word(0x755cf307) @@ -14262,12 +15516,16 @@ main: .word(0xd59c432f) .word(0xaa607753) .word(0xcff6c567) +#if !defined(PULP) .word(0x79da3ddb) +#endif .word(0xbf1c1753) .word(0x11a70c73) .word(0x3c5f96f7) .word(0x18e2b833) +#if !defined(PULP) .word(0x32e9e87b) +#endif .word(0xd99b3dd7) .word(0x45aa9b13) .word(0x0d64fd23) @@ -14294,7 +15552,9 @@ main: .word(0x5c58663b) .word(0x945499fb) .word(0xeef4e957) +#if !defined(PULP) .word(0x401c177b) +#endif .word(0x37d033d7) .word(0x80f4842f) .word(0x24feeb1b) @@ -14327,7 +15587,9 @@ main: .word(0x418126eb) .word(0xd0c71b57) .word(0x1d09a9fb) +#if !defined(PULP) .word(0x45053cdb) +#endif .word(0xbe14b46b) .word(0x6243d807) .word(0x0e14b74f) @@ -14356,12 +15618,16 @@ main: .word(0xa2279a93) .word(0x18e7dd23) .word(0xc7c127f7) +#if !defined(PULP) .word(0xe7d13a5b) +#endif .word(0x3ea9a1af) .word(0x3e7c652f) .word(0x4f6436cf) .word(0x0c2dbc67) +#if !defined(PULP) .word(0x24cef6fb) +#endif .word(0xf0b1999b) .word(0x615081f7) .word(0x2c0108bb) @@ -14397,21 +15663,29 @@ main: .word(0xd190d6a7) .word(0x036967a7) .word(0xdd389167) +#if !defined(PULP) .word(0x3effec8b) +#endif .word(0xbef5522f) .word(0xa94dd523) .word(0x46cc59c7) .word(0xbe7406eb) .word(0x6d33ca27) .word(0x5a0e8d7b) +#if !defined(PULP) .word(0x851dbcab) +#endif .word(0xbaf5d9e7) .word(0x217cde23) .word(0x6a5c8577) +#if !defined(PULP) .word(0x77243d2b) +#endif .word(0xe61531ab) .word(0x52bb9a33) +#if !defined(PULP) .word(0x314165fb) +#endif .word(0xb7b64acb) .word(0xd9dafbf7) .word(0xa9974c2f) @@ -14442,16 +15716,22 @@ main: .word(0x279cf4d3) .word(0x8fc43c53) .word(0xc258b383) +#if !defined(PULP) .word(0x697c96fb) +#endif .word(0x7e46c3b3) +#if !defined(PULP) .word(0x8030887b) +#endif .word(0x93254487) .word(0x42b23feb) .word(0x9c37869b) .word(0x2ea8126b) .word(0xb4c9977b) .word(0xfa041b27) +#if !defined(PULP) .word(0x3d9d85fb) +#endif .word(0x97829c33) .word(0xb053e09b) .word(0xa3b60b1b) @@ -14476,7 +15756,9 @@ main: .word(0x8b96546b) .word(0xb744c653) .word(0xc7d2dfeb) +#if !defined(PULP) .word(0xd8a0365b) +#endif .word(0xa0bc9887) .word(0x6707f74f) .word(0xd6ac70f7) @@ -14497,7 +15779,9 @@ main: .word(0x1aab31e7) .word(0x2ad8773b) .word(0x5dbbeea3) +#if !defined(PULP) .word(0xbee16b0b) +#endif .word(0x6208047b) .word(0x47db122f) .word(0x6aa4006b) @@ -14509,7 +15793,9 @@ main: .word(0xac1a5a33) .word(0xe34b5a67) .word(0x8583147b) +#if !defined(PULP) .word(0xbea5e20b) +#endif .word(0x58b4d8f7) .word(0x8f7e37b3) .word(0xee1c33d3) @@ -14545,7 +15831,9 @@ main: .word(0xca0b07eb) .word(0x4e07d0f7) .word(0xb9bf5423) +#if !defined(PULP) .word(0x8b10748b) +#endif .word(0xa0885d1b) .word(0xb0251bd7) .word(0xffd430fb) @@ -14600,7 +15888,9 @@ main: .word(0x153bacfb) .word(0xe6721c53) .word(0x49364c6b) +#if !defined(PULP) .word(0xa24d6e7b) +#endif .word(0x7465636b) .word(0x46f2a6d7) .word(0xe4fdcad3) @@ -14617,12 +15907,16 @@ main: .word(0xd95a5b67) .word(0x4a9c10af) .word(0x06ace5c7) +#if !defined(PULP) .word(0x1b9bf0fb) +#endif .word(0xc6313767) .word(0x89e2cb23) .word(0x49a795d3) .word(0x3328492b) +#if !defined(PULP) .word(0xab15e50b) +#endif .word(0xc908f0d3) .word(0xd7c41207) .word(0xfdbf306b) @@ -14672,15 +15966,23 @@ main: .word(0xc7f0f3f7) .word(0xdfdc5b43) .word(0xf7f072c7) +#if !defined(PULP) .word(0x9172fa0b) +#endif +#if !defined(PULP) .word(0x4cfab05b) +#endif .word(0x1d006067) .word(0x0eca3f33) +#if !defined(PULP) .word(0xec50225b) +#endif .word(0xad34ce1b) .word(0x60699c9b) .word(0xbd01a12f) +#if !defined(PULP) .word(0x8079720b) +#endif .word(0x21962bfb) .word(0xa1d104bb) .word(0x94b48caf) @@ -14710,7 +16012,9 @@ main: .word(0x4537eb2f) .word(0xc2294c23) .word(0x5c7c009b) +#if !defined(PULP) .word(0x4c332ddb) +#endif .word(0x5e4a1893) .word(0x25f560af) .word(0x33676e77) @@ -14758,7 +16062,9 @@ main: .word(0x98b304b3) .word(0x9cf23d2f) .word(0x3a2d2e33) +#if !defined(PULP) .word(0xe94035db) +#endif .word(0xb0f34a2b) .word(0xe7f0eac3) .word(0xc05cfdbb) @@ -14789,7 +16095,9 @@ main: .word(0x149f9d3b) .word(0x54667d03) .word(0x4a52026b) +#if !defined(PULP) .word(0x594960fb) +#endif .word(0x09f6f32b) .word(0x68974cbb) .word(0x59ce3c33) @@ -14806,7 +16114,9 @@ main: .word(0x68e6b07b) .word(0xd83df257) .word(0x46c81743) +#if !defined(PULP) .word(0x4cd38cfb) +#endif .word(0xbbfe12d7) .word(0x4adf302f) .word(0x82730b87) @@ -14823,7 +16133,9 @@ main: .word(0xf2afef57) .word(0x0beb7883) .word(0x5b35be7b) +#if !defined(PULP) .word(0xbb8b788b) +#endif .word(0x8d7a8e3b) .word(0x263056c3) .word(0xb6795ea3) @@ -14831,7 +16143,9 @@ main: .word(0x76d5e2af) .word(0x50aea7bb) .word(0x790b2bbb) +#if !defined(PULP) .word(0x043a618b) +#endif .word(0x80b81ff7) .word(0xfc2be19b) .word(0xa880cfeb) @@ -14863,7 +16177,9 @@ main: .word(0xd1a3e5b3) .word(0x9744aec3) .word(0xb541657b) +#if !defined(PULP) .word(0x379dea0b) +#endif .word(0xa987df23) .word(0x67707c9b) .word(0xd9ece577) @@ -14922,24 +16238,32 @@ main: .word(0xa81349f3) .word(0x0cfea8b3) .word(0xa7ded693) +#if !defined(PULP) .word(0x8cedff0b) +#endif .word(0xbcd9b20b) .word(0xe9221827) .word(0xe7ed5fab) .word(0xcf322c57) +#if !defined(PULP) .word(0xdbe827db) +#endif .word(0x16703353) .word(0xc0a81193) .word(0x5b96dabb) .word(0x467bb6cb) +#if !defined(PULP) .word(0xe72b748b) +#endif .word(0x752def53) .word(0x40bb52e7) .word(0x8595c4af) .word(0x6819d9f7) .word(0xdee2277b) .word(0xae38f59b) +#if !defined(PULP) .word(0x7c65e60b) +#endif .word(0x449743f7) .word(0xdfdce0fb) .word(0xd7b6cf57) @@ -14977,7 +16301,9 @@ main: .word(0x58b37c87) .word(0x828cfaa7) .word(0x5cdf0d1b) +#if !defined(PULP) .word(0xa080727b) +#endif .word(0xe68702f3) .word(0xd67c9e6b) .word(0x2b817423) @@ -15027,7 +16353,9 @@ main: .word(0xeef5bbc7) .word(0x6da27dbb) .word(0xa49ee2a3) +#if !defined(PULP) .word(0x5619e07b) +#endif .word(0xae67b0cf) .word(0xd57ad807) .word(0x04d2e5e7) @@ -15058,10 +16386,14 @@ main: .word(0xe30247f3) .word(0xdeea721b) .word(0x4ba9902f) +#if !defined(PULP) .word(0x4e0a780b) +#endif .word(0x6ff1e4a3) .word(0x01ba1b2f) +#if !defined(PULP) .word(0x7cfdc62b) +#endif .word(0xa62d88b3) .word(0xfe0e134f) .word(0x7130e2d7) @@ -15072,7 +16404,9 @@ main: .word(0xccf8ce23) .word(0x2483113b) .word(0x272744cb) +#if !defined(PULP) .word(0x772eff0b) +#endif .word(0x6e3d5e9b) .word(0x3fe49b7b) .word(0xfd22d767) @@ -15113,7 +16447,9 @@ main: .word(0x3296683b) .word(0x143e966b) .word(0x6622c477) +#if !defined(PULP) .word(0x788efa8b) +#endif .word(0x6c5e1d27) .word(0xe8e2b7bb) .word(0x3a8c1757) @@ -15122,7 +16458,9 @@ main: .word(0xd97b552f) .word(0x818ade1b) .word(0xfc025233) +#if !defined(PULP) .word(0xb872a4fb) +#endif .word(0xaf4c342f) .word(0x5f947e67) .word(0x3ab1d8bb) @@ -15136,7 +16474,9 @@ main: .word(0xbf086c77) .word(0x4761cc07) .word(0x5734b4e7) +#if !defined(PULP) .word(0x9a6473fb) +#endif .word(0xf9a199e7) .word(0x47924f23) .word(0x98d691a7) @@ -15156,7 +16496,9 @@ main: .word(0x642f340b) .word(0x871cfc83) .word(0x5f3cd477) +#if !defined(PULP) .word(0xe09b807b) +#endif .word(0xb63c3bd7) .word(0x01e6a96b) .word(0xc5d0a0d3) @@ -15190,7 +16532,9 @@ main: .word(0x9ab06e9b) .word(0xe8779957) .word(0x84fb546b) +#if !defined(PULP) .word(0x5e78e68b) +#endif .word(0xa3aacd57) .word(0x9cf3d3f7) .word(0x3c51da07) @@ -15209,7 +16553,9 @@ main: .word(0x26fb5d53) .word(0xd891e627) .word(0xf1b79b9b) +#if !defined(PULP) .word(0x25560bfb) +#endif .word(0x157df3a7) .word(0xc76da867) .word(0x4543792f) @@ -15220,7 +16566,9 @@ main: .word(0xc9e88a6b) .word(0x43b43d2f) .word(0xd85994d3) +#if !defined(PULP) .word(0xb8cd2a7b) +#endif .word(0x5c60db77) .word(0x7d32169b) .word(0x435711d7) @@ -15233,15 +16581,21 @@ main: .word(0xa76b3c0b) .word(0xc5f73e23) .word(0xffdd2b1b) +#if !defined(PULP) .word(0x4d25f50b) +#endif .word(0x89529377) .word(0xf5e94487) .word(0xdd9298fb) .word(0x69325287) .word(0x58f41053) .word(0xa92f7553) +#if !defined(PULP) .word(0x1d4bf27b) +#endif +#if !defined(PULP) .word(0x7a61e18b) +#endif .word(0xd30b6d2b) .word(0x6c723b2f) .word(0x4ac4856b) @@ -15269,7 +16623,9 @@ main: .word(0xf5e089d3) .word(0x7ee6c54f) .word(0x268835fb) +#if !defined(PULP) .word(0x9ec0710b) +#endif .word(0x8ff8237b) .word(0xed0f989b) .word(0x7cd8863b) @@ -15281,7 +16637,9 @@ main: .word(0x5b93163b) .word(0x6d327c03) .word(0x9616c187) +#if !defined(PULP) .word(0xe05ebe5b) +#endif .word(0xdfa7f6f7) .word(0x7a8cf3d3) .word(0x7de3eee7) @@ -15305,7 +16663,9 @@ main: .word(0x3636af33) .word(0xce17d76b) .word(0x09feadeb) +#if !defined(PULP) .word(0x5d6227db) +#endif .word(0x78a33f53) .word(0xd18d937b) .word(0x3ddb4a6b) @@ -15315,7 +16675,9 @@ main: .word(0xf431fceb) .word(0x695451f7) .word(0x2f782847) +#if !defined(PULP) .word(0xeae52edb) +#endif .word(0xceae302b) .word(0x45ebeb3b) .word(0xef39fe9b) @@ -15327,8 +16689,12 @@ main: .word(0xfa738973) .word(0x464a5127) .word(0x54f706b3) +#if !defined(PULP) .word(0x447b7c7b) +#endif +#if !defined(PULP) .word(0xab6ef80b) +#endif .word(0x475c8b47) .word(0xfac0e5a3) .word(0x2410da9b) @@ -15344,7 +16710,9 @@ main: .word(0x503e7f67) .word(0xd9d3bceb) .word(0x979c51bb) +#if !defined(PULP) .word(0x3b966d7b) +#endif .word(0xf715c327) .word(0x290dc6a7) .word(0xa1f840bb) @@ -15385,7 +16753,9 @@ main: .word(0x95b9e923) .word(0x6ab058b3) .word(0x1101ce87) +#if !defined(PULP) .word(0x7009730b) +#endif .word(0xd98dd8ab) .word(0x81644caf) .word(0xf9459027) @@ -15394,7 +16764,9 @@ main: .word(0x070e0e73) .word(0x0a5b5523) .word(0x00420477) +#if !defined(PULP) .word(0xf93d3f5b) +#endif .word(0x8007e5bb) .word(0x27bbc2cb) .word(0x31fd8527) @@ -15413,7 +16785,9 @@ main: .word(0x31d4996b) .word(0x7746f9e7) .word(0xa6acdec7) +#if !defined(PULP) .word(0x433b72fb) +#endif .word(0x712ca59b) .word(0xf719cbd7) .word(0x2dbcfea3) @@ -15448,7 +16822,9 @@ main: .word(0xfdafb823) .word(0x2d6cd293) .word(0xd890b77b) +#if !defined(PULP) .word(0x56cf668b) +#endif .word(0x2f36c9e7) .word(0x36e359ab) .word(0x30d2c127) @@ -15491,7 +16867,9 @@ main: .word(0x3b79886b) .word(0xcefd559b) .word(0xbf8b304f) +#if !defined(PULP) .word(0xb49b6b0b) +#endif .word(0xf616bd1b) .word(0xf6985bc3) .word(0x1bb8b423) @@ -15502,7 +16880,9 @@ main: .word(0xfc0f8757) .word(0xa7756a53) .word(0x139183fb) +#if !defined(PULP) .word(0x63f7678b) +#endif .word(0xe71886c7) .word(0x72ba9677) .word(0x123b70ab) @@ -15530,8 +16910,12 @@ main: .word(0x1b01722b) .word(0x7da6caa3) .word(0x4020aceb) +#if !defined(PULP) .word(0xa2d2660b) +#endif +#if !defined(PULP) .word(0xd2e9750b) +#endif .word(0x1ca0de23) .word(0xe5975957) .word(0x8d9e25f7) @@ -15541,7 +16925,9 @@ main: .word(0x47ef3dcb) .word(0x71502c2f) .word(0xbb8ed9ab) +#if !defined(PULP) .word(0xdb11a5db) +#endif .word(0xe32cef53) .word(0xabac4c3b) .word(0xefc5d36b) @@ -15558,8 +16944,12 @@ main: .word(0x293fcfbb) .word(0x72c94b77) .word(0x6937d867) +#if !defined(PULP) .word(0x50f9fa7b) +#endif +#if !defined(PULP) .word(0x349c600b) +#endif .word(0xa13e141b) .word(0xcc8861a7) .word(0xc5d194d7) @@ -15592,13 +16982,17 @@ main: .word(0x1923b3fb) .word(0x97ada13b) .word(0xb1f48faf) +#if !defined(PULP) .word(0x526539ab) +#endif .word(0x9c3f751b) .word(0xc9a0c173) .word(0x62218707) .word(0x3afed02f) .word(0xd1a58eeb) +#if !defined(PULP) .word(0x7a6df68b) +#endif .word(0xf5cdbbf7) .word(0x27d9fde7) .word(0x0473f0af) @@ -15608,7 +17002,9 @@ main: .word(0x31e7a4e7) .word(0x1236c033) .word(0x2c9f84f7) +#if !defined(PULP) .word(0x93f76c0b) +#endif .word(0xfa897caf) .word(0x68370d53) .word(0xf1cd782f) @@ -15645,17 +17041,23 @@ main: .word(0x47e29e3b) .word(0x11697487) .word(0xb4d31e07) +#if !defined(PULP) .word(0xc433f20b) +#endif .word(0x5cf48857) .word(0xb3161667) .word(0x51048fd3) .word(0xc55c6953) .word(0xc02544e7) .word(0xbe7d89eb) +#if !defined(PULP) .word(0x3356767b) +#endif .word(0x437d1293) .word(0x83bd742f) +#if !defined(PULP) .word(0x5106ef7b) +#endif .word(0x70b561eb) .word(0x3af22ee7) .word(0xfbbed61b) @@ -15693,9 +17095,15 @@ main: .word(0x373aa8b3) .word(0xc2830ca7) .word(0x5d2dab53) +#if !defined(PULP) .word(0x45b2395b) +#endif +#if !defined(PULP) .word(0x05977bfb) +#endif +#if !defined(PULP) .word(0xd6c43f5b) +#endif .word(0x1a07c73b) .word(0xaf12b943) .word(0x4b2e97f7) @@ -15737,7 +17145,9 @@ main: .word(0x57c7dfd3) .word(0x936c4b27) .word(0xf45c9a6b) +#if !defined(PULP) .word(0x8d7abd2b) +#endif .word(0xe407b9fb) .word(0x4c1c4b27) .word(0xc2c4be0b) @@ -15771,7 +17181,9 @@ main: .word(0x16ebc42b) .word(0x5654cc4b) .word(0xe1c3f59b) +#if !defined(PULP) .word(0x82cdf78b) +#endif .word(0x472a6dc7) .word(0x34961a87) .word(0xf2a45faf) @@ -15803,7 +17215,9 @@ main: .word(0x57ac8dbb) .word(0xf54ec36b) .word(0x6e3f8f57) +#if !defined(PULP) .word(0xea812ddb) +#endif .word(0x5a822faf) .word(0x9cc371a3) .word(0x1a2c9e57) @@ -15815,7 +17229,9 @@ main: .word(0x07308f6b) .word(0x6c876387) .word(0x371ad0a3) +#if !defined(PULP) .word(0x496f1d7b) +#endif .word(0x2d6f2733) .word(0x200501b3) .word(0x0a5a131b) @@ -15829,7 +17245,9 @@ main: .word(0x4195ed53) .word(0x8aedf503) .word(0x07b44caf) +#if !defined(PULP) .word(0x32a4fdfb) +#endif .word(0x86ce9fa7) .word(0xb7d9824b) .word(0x191d32eb) @@ -15839,7 +17257,9 @@ main: .word(0xf0fb892f) .word(0x3e46d62f) .word(0x599d7cd3) +#if !defined(PULP) .word(0x0d93c6ab) +#endif .word(0x596fc8af) .word(0x46163fbb) .word(0x23ac42a3) @@ -15869,10 +17289,14 @@ main: .word(0xb0753d77) .word(0xfc1426d3) .word(0x066de8eb) +#if !defined(PULP) .word(0x0047e47b) +#endif .word(0x175561cf) .word(0xa904a067) +#if !defined(PULP) .word(0x27f47f0b) +#endif .word(0x05ff45a3) .word(0xc930a69b) .word(0x33c4d03b) @@ -15892,7 +17316,9 @@ main: .word(0xa9b6a57b) .word(0x76290d33) .word(0x4c7a39d3) +#if !defined(PULP) .word(0xa1a1e50b) +#endif .word(0x976e521b) .word(0xec687553) .word(0x87d0b9fb) @@ -15901,7 +17327,9 @@ main: .word(0x38278133) .word(0x54b1eea3) .word(0xb3f3817b) +#if !defined(PULP) .word(0x4dd3fcfb) +#endif .word(0x60cd07f7) .word(0xecc9f2e7) .word(0x468606eb) @@ -15941,12 +17369,18 @@ main: .word(0x2e308177) .word(0xbccfb19b) .word(0x65a1e023) +#if !defined(PULP) .word(0x8ddd3f2b) +#endif .word(0x72510ad7) +#if !defined(PULP) .word(0x2fc1e8fb) +#endif .word(0x0a8894af) .word(0xf75b674b) +#if !defined(PULP) .word(0x3d41e18b) +#endif .word(0xf8e908af) .word(0xfe224d47) .word(0x907987d7) @@ -15964,9 +17398,13 @@ main: .word(0x27c68b2f) .word(0x41716fa7) .word(0xe609f6af) +#if !defined(PULP) .word(0x8aa267fb) +#endif .word(0x8640b26b) +#if !defined(PULP) .word(0x2df8e90b) +#endif .word(0x64ef747b) .word(0x9ff068ab) .word(0xe051132f) @@ -16007,7 +17445,9 @@ main: .word(0x6e7de6af) .word(0x8c9aa4e7) .word(0xae60c943) +#if !defined(PULP) .word(0x3297f10b) +#endif .word(0x0ff08b57) .word(0x4145093b) .word(0x5f2a0727) @@ -16037,7 +17477,9 @@ main: .word(0xb2df7a77) .word(0x3b77caaf) .word(0x3e8e7daf) +#if !defined(PULP) .word(0xd49cb55b) +#endif .word(0x70d4e2e7) .word(0xf75926c7) .word(0xd5e0c82f) @@ -16060,7 +17502,9 @@ main: .word(0x19bf6407) .word(0xd3187957) .word(0xb119fef7) +#if !defined(PULP) .word(0x8a9e77fb) +#endif .word(0x74f13fe7) .word(0x8b4ac807) .word(0xb9cbbebb) @@ -16073,7 +17517,9 @@ main: .word(0xb16e721b) .word(0xfdcedf23) .word(0xfd3b5f27) +#if !defined(PULP) .word(0xa8ebf40b) +#endif .word(0xce8269af) .word(0x98fc4677) .word(0x7d5c9db3) @@ -16101,7 +17547,9 @@ main: .word(0x2ff05bd3) .word(0x2bb50ea7) .word(0x8cd6f827) +#if !defined(PULP) .word(0xaa76ed0b) +#endif .word(0x55d88d2f) .word(0x59533db3) .word(0x5f273d33) @@ -16109,7 +17557,9 @@ main: .word(0xb5388353) .word(0xce2d659b) .word(0x868b60cf) +#if !defined(PULP) .word(0x43d7e07b) +#endif .word(0xdfc1def7) .word(0xd473553b) .word(0x10fe01eb) @@ -16130,7 +17580,9 @@ main: .word(0x5b020fa7) .word(0x024e95af) .word(0x66052457) +#if !defined(PULP) .word(0x57cfb45b) +#endif .word(0xedafb88b) .word(0xca64181b) .word(0x8cf1ebe7) @@ -16147,7 +17599,9 @@ main: .word(0x1ed84853) .word(0xc817b12b) .word(0x4eaeb0eb) +#if !defined(PULP) .word(0x49802a5b) +#endif .word(0x8abd0e87) .word(0x92901507) .word(0x572332e7) @@ -16160,7 +17614,9 @@ main: .word(0xf8d2e5ab) .word(0xa52f6fbb) .word(0xda9652bb) +#if !defined(PULP) .word(0x2bd56c7b) +#endif .word(0x69a6932f) .word(0x2cd9ff27) .word(0x8da983d3) @@ -16202,9 +17658,13 @@ main: .word(0xb3c48387) .word(0xa6cbe1ab) .word(0x4d0fb66b) +#if !defined(PULP) .word(0x7f81abdb) +#endif .word(0x6d995a23) +#if !defined(PULP) .word(0xd605af5b) +#endif .word(0x78dc552f) .word(0x76548273) .word(0xa5203983) @@ -16225,7 +17685,9 @@ main: .word(0x4c85abd7) .word(0x8ea5aa57) .word(0x700a7687) +#if !defined(PULP) .word(0x898e89fb) +#endif .word(0x80cb05a7) .word(0xc2e913e7) .word(0xc61c662f) @@ -16242,7 +17704,9 @@ main: .word(0x8ae3fdab) .word(0x92d8dcd3) .word(0xf0bcaee7) +#if !defined(PULP) .word(0x917a1afb) +#endif .word(0x7dc0c3b3) .word(0x0c3250a7) .word(0x7af1ec2b) @@ -16262,7 +17726,9 @@ main: .word(0x2e457087) .word(0x5bfb5c3b) .word(0x25c47dbb) +#if !defined(PULP) .word(0x48db88fb) +#endif .word(0x5a04f33b) .word(0xde41b73b) .word(0x5704ca77) @@ -16381,19 +17847,25 @@ main: .word(0x1879eb2f) .word(0x26089cbb) .word(0xf24e17f7) +#if !defined(PULP) .word(0xfd86a4db) +#endif .word(0xbe85fc2b) .word(0xc4a371eb) .word(0xcd3dc973) .word(0xa4116f7b) +#if !defined(PULP) .word(0x498a617b) +#endif .word(0x296b4d1b) .word(0x865fb267) .word(0xb3f28073) .word(0x87fa1557) .word(0xb10cc333) .word(0x739790f7) +#if !defined(PULP) .word(0x419c690b) +#endif .word(0xbed3b54f) .word(0x357c7c9b) .word(0x4f28d8eb) @@ -16425,7 +17897,9 @@ main: .word(0x21172afb) .word(0xba07d457) .word(0x7e5a04a7) +#if !defined(PULP) .word(0x07ebc6ab) +#endif .word(0x7df9c433) .word(0x72171377) .word(0xce4d7183) @@ -16445,7 +17919,9 @@ main: .word(0x0a784167) .word(0x3317116b) .word(0x1bc87807) +#if !defined(PULP) .word(0x6abb472b) +#endif .word(0x6fa06ea7) .word(0x78de342f) .word(0xf3a2f42b) @@ -16480,12 +17956,16 @@ main: .word(0x027a263b) .word(0xa247eb07) .word(0xe4cd4a9b) +#if !defined(PULP) .word(0xf3fa720b) +#endif .word(0xa69c6043) .word(0x438a9a3b) .word(0x6a180e1b) .word(0xf4c32177) +#if !defined(PULP) .word(0xaa19f40b) +#endif .word(0xe00de1bb) .word(0xce8eb90b) .word(0xd03c72af) @@ -16517,7 +17997,9 @@ main: .word(0xb41150b3) .word(0x688bc1f3) .word(0xd0ef0ceb) +#if !defined(PULP) .word(0x3408c7ab) +#endif .word(0x5fc7d12f) .word(0x259c82a7) .word(0xad0c0753) @@ -16533,7 +18015,9 @@ main: .word(0xc67ec8bb) .word(0xb4d44cf3) .word(0x2697251b) +#if !defined(PULP) .word(0x42b96b8b) +#endif .word(0x9de22d2f) .word(0x3e499213) .word(0xee302e47) @@ -16562,7 +18046,9 @@ main: .word(0x8b48c8af) .word(0x630e3133) .word(0x45266187) +#if !defined(PULP) .word(0x2135698b) +#endif .word(0xd60c4707) .word(0x6f6313fb) .word(0x97d38ec7) @@ -16575,7 +18061,9 @@ main: .word(0x7c53e157) .word(0x4362fda3) .word(0x90ef4207) +#if !defined(PULP) .word(0x7f68748b) +#endif .word(0x89013353) .word(0x11f4e477) .word(0x345d602f) @@ -16594,7 +18082,9 @@ main: .word(0xbcb4e51b) .word(0x08ae0dbb) .word(0x0a3d5eeb) +#if !defined(PULP) .word(0xe9ea728b) +#endif .word(0xea516d77) .word(0xcd387b2b) .word(0xbee2e723) @@ -16610,7 +18100,9 @@ main: .word(0xbd22c5f3) .word(0x3724a4cb) .word(0x4b5e43eb) +#if !defined(PULP) .word(0x5547a97b) +#endif .word(0x50c81213) .word(0x8fe207d3) .word(0xaced378b) @@ -16626,13 +18118,19 @@ main: .word(0x3d5f533b) .word(0x9795b64b) .word(0x19f032a3) +#if !defined(PULP) .word(0xd96afc8b) +#endif .word(0xeecba653) .word(0xcb76a267) .word(0xd7d6c457) .word(0x924596f7) +#if !defined(PULP) .word(0xf5bab45b) +#endif +#if !defined(PULP) .word(0x6d53b8db) +#endif .word(0xc0d2536b) .word(0x1fd09107) .word(0x2effb2a3) @@ -16640,8 +18138,12 @@ main: .word(0xa43622eb) .word(0xb5c2dc77) .word(0x8b53cdaf) +#if !defined(PULP) .word(0x4bafe90b) +#endif +#if !defined(PULP) .word(0xfc69668b) +#endif .word(0x357dea1b) .word(0xa92b661b) .word(0x05723223) @@ -16676,7 +18178,9 @@ main: .word(0xaf678b9b) .word(0x6aa41ca7) .word(0xeb3ad2d7) +#if !defined(PULP) .word(0xb165660b) +#endif .word(0x36fb5a07) .word(0xd8d94f07) .word(0x90d0fa23) @@ -16773,7 +18277,9 @@ main: .word(0x701f8e2f) .word(0xd590adaf) .word(0x1aad161b) +#if !defined(PULP) .word(0xd218bbdb) +#endif .word(0x2ee95057) .word(0x762b2843) .word(0x331e0bd7) @@ -16790,14 +18296,18 @@ main: .word(0x3eb237bb) .word(0xf179cd1b) .word(0x333aed33) +#if !defined(PULP) .word(0x82eb3f2b) +#endif .word(0x1d9746bb) .word(0x7f7ab703) .word(0xf9e0583b) .word(0x895de2eb) .word(0xdd047b2b) .word(0xd9fcd1b3) +#if !defined(PULP) .word(0xe30fb6db) +#endif .word(0x6cedd3a3) .word(0x5a69413b) .word(0x4c20ccab) @@ -16837,22 +18347,30 @@ main: .word(0xadb2162f) .word(0xe8f7c8eb) .word(0xf7d2a3f7) +#if !defined(PULP) .word(0x592ee98b) +#endif .word(0xdec71acb) .word(0x06d31bcf) .word(0xf255b6eb) .word(0x388d999b) .word(0xd68a12a7) .word(0x274660ab) +#if !defined(PULP) .word(0x5a456b8b) +#endif .word(0xf59bef2f) +#if !defined(PULP) .word(0x12c77a0b) +#endif .word(0xd4def203) .word(0xf6c4592b) .word(0xfc1dd59b) .word(0x149655ab) .word(0xaed59dbb) +#if !defined(PULP) .word(0x8b2ffffb) +#endif .word(0x0b335c23) .word(0x1d1a3eaf) .word(0x1ed53057) @@ -16869,7 +18387,9 @@ main: .word(0xe1d4acbb) .word(0xe32d59eb) .word(0x4a53012f) +#if !defined(PULP) .word(0x9c957d0b) +#endif .word(0x645a6d53) .word(0xe151f357) .word(0x7f5169eb) @@ -16882,10 +18402,14 @@ main: .word(0xbfe6d313) .word(0xdc837c67) .word(0x96fd2977) +#if !defined(PULP) .word(0x6aca7e7b) +#endif .word(0x5b141ebb) .word(0x5a064fd7) +#if !defined(PULP) .word(0x5b127a8b) +#endif .word(0xd496167b) .word(0x5b329333) .word(0xae521d33) @@ -16896,7 +18420,9 @@ main: .word(0xb1c21eeb) .word(0xa0607e1b) .word(0xc2b16c77) +#if !defined(PULP) .word(0xb0d2e78b) +#endif .word(0xe64717bb) .word(0x8b4f01b3) .word(0x37b6656b) @@ -16943,7 +18469,9 @@ main: .word(0x9fe45f67) .word(0xcce830f7) .word(0x9b6fae1b) +#if !defined(PULP) .word(0xab32680b) +#endif .word(0x86e79387) .word(0x2fd4eeeb) .word(0x0de079f7) @@ -16991,7 +18519,9 @@ main: .word(0x74b1d327) .word(0xd8b8e387) .word(0xd70e0257) +#if !defined(PULP) .word(0x56d6770b) +#endif .word(0x565d776b) .word(0xe3c82bfb) .word(0x7319839b) @@ -17003,7 +18533,9 @@ main: .word(0x86a3dd57) .word(0x3b50a89b) .word(0x3d1ef6eb) +#if !defined(PULP) .word(0xa256637b) +#endif .word(0x60f619f7) .word(0x34f3f527) .word(0xcb9037f7) @@ -17019,7 +18551,9 @@ main: .word(0x443fd823) .word(0x6fecb6a3) .word(0xfe55e64b) +#if !defined(PULP) .word(0x7523e10b) +#endif .word(0x5857f62b) .word(0x2fde56d7) .word(0x785230a3) @@ -17035,7 +18569,9 @@ main: .word(0x279147c7) .word(0xb61f5bf7) .word(0x203969e7) +#if !defined(PULP) .word(0x4115e18b) +#endif .word(0x772950cb) .word(0x9c5d2aaf) .word(0x881c0e87) @@ -17077,9 +18613,13 @@ main: .word(0x3b5e922f) .word(0xe526a6e7) .word(0xd613b8d3) +#if !defined(PULP) .word(0xbf7a7f0b) +#endif .word(0x89106ceb) +#if !defined(PULP) .word(0xca48797b) +#endif .word(0x1b9da13b) .word(0x4eea8caf) .word(0x51b31377) @@ -17099,7 +18639,9 @@ main: .word(0xeb8206d7) .word(0x4139bc8b) .word(0xb766e5c3) +#if !defined(PULP) .word(0x9fae6d0b) +#endif .word(0x4ea8a8d3) .word(0x14973bd7) .word(0xa8c1bc6b) @@ -17114,7 +18656,9 @@ main: .word(0x1089b06b) .word(0xd6665827) .word(0xaf0c2f2f) +#if !defined(PULP) .word(0x63df777b) +#endif .word(0x1587e13b) .word(0xbe44e32b) .word(0x2ebab6af) @@ -17135,17 +18679,23 @@ main: .word(0x08603ea3) .word(0xb1f2fbaf) .word(0xa95c986b) +#if !defined(PULP) .word(0x2e127d7b) +#endif .word(0xf11d8777) .word(0xd5717e67) .word(0x96d03123) .word(0x0bb36ea7) +#if !defined(PULP) .word(0xd159335b) +#endif .word(0xfdcb5467) .word(0xadc3ddd3) .word(0x5f09d0e7) .word(0x0a7ff223) +#if !defined(PULP) .word(0x194af87b) +#endif .word(0x0e8c569b) .word(0xac2408b3) .word(0xb6aa839b) @@ -17194,13 +18744,17 @@ main: .word(0xea14bd7b) .word(0x80b52f7b) .word(0xd24fe3b3) +#if !defined(PULP) .word(0x15408f7b) +#endif .word(0x1a3062a3) .word(0x2bbef383) .word(0x5921e123) .word(0x8c8de207) .word(0xfe77621b) +#if !defined(PULP) .word(0x5e037d8b) +#endif .word(0x75d6e62b) .word(0x43705e3b) .word(0xedfedd07) @@ -17236,9 +18790,13 @@ main: .word(0x50aa81a7) .word(0x0554bd8b) .word(0x6659d2cf) +#if !defined(PULP) .word(0x77296d0b) +#endif .word(0xcfff64cb) +#if !defined(PULP) .word(0xd5fc6c0b) +#endif .word(0xbe55e81b) .word(0x3d267f1b) .word(0x52b262a7) @@ -17263,13 +18821,17 @@ main: .word(0xa4555813) .word(0x3e17bf2b) .word(0xe66113d7) +#if !defined(PULP) .word(0x28fafa8b) +#endif .word(0x1573bba3) .word(0xa8b70473) .word(0xc768b3ab) .word(0x122c9ff7) .word(0x81f226fb) +#if !defined(PULP) .word(0x8998ebfb) +#endif .word(0xd347c1f7) .word(0xf26e6fa7) .word(0xf2855f07) @@ -17277,7 +18839,9 @@ main: .word(0xab0df42b) .word(0x5c3ddb93) .word(0x5f1a1513) +#if !defined(PULP) .word(0x3051f60b) +#endif .word(0xb723f69b) .word(0x4e3a4877) .word(0xc77d5443) @@ -17288,7 +18852,9 @@ main: .word(0x8f42d4a7) .word(0x7b381ebb) .word(0x5864bd9b) +#if !defined(PULP) .word(0xc038f57b) +#endif .word(0x83654cbb) .word(0x5e2960fb) .word(0x5c752f57) @@ -17301,7 +18867,9 @@ main: .word(0xacb262a3) .word(0x690ec877) .word(0x7631d653) +#if !defined(PULP) .word(0x6be867fb) +#endif .word(0x8e60e257) .word(0xeb82951b) .word(0x3bfbaf77) @@ -17339,7 +18907,9 @@ main: .word(0xd886b71b) .word(0x78b43757) .word(0xa241ba33) +#if !defined(PULP) .word(0xd171ae5b) +#endif .word(0x07dea353) .word(0x11af5daf) .word(0xdfd741bb) @@ -17350,14 +18920,18 @@ main: .word(0xff4f9ebb) .word(0x0ba4d99b) .word(0x8eaa6e1b) +#if !defined(PULP) .word(0x61dc847b) +#endif .word(0xc0e41077) .word(0xef1e7db3) .word(0x7d5765a7) .word(0x1edf4cc3) .word(0x8190a053) .word(0xb1b29857) +#if !defined(PULP) .word(0xd847758b) +#endif .word(0xa9e4dad3) .word(0x9cce7277) .word(0xd97df133) @@ -17396,7 +18970,9 @@ main: .word(0xe785b553) .word(0x7a0149e7) .word(0x6ae859eb) +#if !defined(PULP) .word(0xb495ec8b) +#endif .word(0xaf36d6f7) .word(0xd7e53803) .word(0x6bed2bbb) @@ -17420,7 +18996,9 @@ main: .word(0x555c76e7) .word(0xad54b49b) .word(0x28c1c3eb) +#if !defined(PULP) .word(0x5d69b72b) +#endif .word(0xcacd3153) .word(0x17329f6b) .word(0x692abffb) @@ -17484,7 +19062,9 @@ main: .word(0x514d4eaf) .word(0xcf515057) .word(0x3cf16cbb) +#if !defined(PULP) .word(0xaadc7ffb) +#endif .word(0xa4a07ebb) .word(0x39d06b53) .word(0x1b4af5ab) @@ -17518,7 +19098,9 @@ main: .word(0x2227d677) .word(0xc850a6d7) .word(0x0a95691b) +#if !defined(PULP) .word(0x40a18bfb) +#endif .word(0xa7c26eaf) .word(0xe0e95287) .word(0x7db83b77) @@ -17542,7 +19124,9 @@ main: .word(0x8f0baaeb) .word(0x27b76deb) .word(0xb641e9cb) +#if !defined(PULP) .word(0x748db2db) +#endif .word(0x7c133dd3) .word(0x86d7bbd3) .word(0x83aa9393) @@ -17551,12 +19135,16 @@ main: .word(0x313b69f7) .word(0x8054636b) .word(0x76c3c92b) +#if !defined(PULP) .word(0xfa247c0b) +#endif .word(0xf0f7a2bb) .word(0x2ec6bf53) .word(0x7197cd07) .word(0x27e9ebe7) +#if !defined(PULP) .word(0x11d838ab) +#endif .word(0x17035baf) .word(0xeb6d20af) .word(0x475eeeb3) @@ -17579,8 +19167,12 @@ main: .word(0x90bd02af) .word(0xfd0a5d53) .word(0x17894d73) +#if !defined(PULP) .word(0x65162fdb) +#endif +#if !defined(PULP) .word(0x4f33670b) +#endif .word(0xf741338b) .word(0xdc5ee07b) .word(0xc8e4ee77) @@ -17603,7 +19195,9 @@ main: .word(0xd908df27) .word(0x10f215b3) .word(0xf5252877) +#if !defined(PULP) .word(0x0c787f8b) +#endif .word(0xc663c9eb) .word(0x44ee6027) .word(0x674ed877) @@ -17613,8 +19207,12 @@ main: .word(0xfe705353) .word(0xa43ef43b) .word(0x735257b3) +#if !defined(PULP) .word(0x8655fc0b) +#endif +#if !defined(PULP) .word(0x3eaef2fb) +#endif .word(0x6b6b69a3) .word(0x1d9b1aaf) .word(0xf34af887) @@ -17653,13 +19251,17 @@ main: .word(0x8d332d3b) .word(0x02813503) .word(0xe31edf77) +#if !defined(PULP) .word(0x58a7e18b) +#endif .word(0xc4d2edf7) .word(0xe6ee35e7) .word(0xf4cfb653) .word(0x986dc853) .word(0x7c08f2af) +#if !defined(PULP) .word(0x5277a15b) +#endif .word(0x017f5787) .word(0x51b62c67) .word(0x3d6e8f33) @@ -17675,10 +19277,14 @@ main: .word(0x8bd792bb) .word(0x5f6bb6c7) .word(0x849365d7) +#if !defined(PULP) .word(0x555bebfb) +#endif .word(0x7188d277) .word(0xd4cafd03) +#if !defined(PULP) .word(0x4d80effb) +#endif .word(0x3cd75aab) .word(0xaa8fae7b) .word(0x1d162577) @@ -17721,28 +19327,38 @@ main: .word(0xe9467c3b) .word(0xb1b7829b) .word(0x26c68fc7) +#if !defined(PULP) .word(0xe438a85b) +#endif .word(0xf6e54967) .word(0xb469bc2f) .word(0x2df250bb) .word(0x47b65e27) .word(0x9cc1b023) .word(0xa7c2c1eb) +#if !defined(PULP) .word(0x2db1b3ab) +#endif .word(0x0d7743f3) .word(0x441c7803) +#if !defined(PULP) .word(0x9163f60b) +#endif .word(0xae22f4d7) .word(0x67f0a1f7) .word(0x5e04cc3b) .word(0xa13db73b) +#if !defined(PULP) .word(0xe1d6a9db) +#endif .word(0x7a4ddd93) .word(0xde920ecb) .word(0xd9ee5107) .word(0x16ec0a47) .word(0xe8cf5227) +#if !defined(PULP) .word(0x6da0a87b) +#endif .word(0xfe2a6d4f) .word(0x092b9167) .word(0x57a22dd3) @@ -17752,7 +19368,9 @@ main: .word(0xe971b5ab) .word(0xd87939af) .word(0xce833b9b) +#if !defined(PULP) .word(0x6a11eefb) +#endif .word(0x8360cf87) .word(0x86a4021b) .word(0xc6bf72d3) @@ -17768,7 +19386,9 @@ main: .word(0x3301c81b) .word(0xd218b20b) .word(0xae65be8b) +#if !defined(PULP) .word(0x07b86f0b) +#endif .word(0x78b80f27) .word(0x314db7a3) .word(0x05bb391b) @@ -17779,17 +19399,25 @@ main: .word(0xbdeeb5ab) .word(0x353d9f07) .word(0x4635dc47) +#if !defined(PULP) .word(0xc8616f8b) +#endif .word(0x292f41e7) +#if !defined(PULP) .word(0x78edbedb) +#endif .word(0x6d4ccceb) .word(0xbca05d33) +#if !defined(PULP) .word(0x4c5723db) +#endif .word(0x98664677) .word(0x49662b9b) .word(0xbe9b166b) .word(0x315a663b) +#if !defined(PULP) .word(0xab766d0b) +#endif .word(0x3fedceaf) .word(0x0132b8eb) .word(0x4a87e71b) @@ -17812,7 +19440,9 @@ main: .word(0x26fde153) .word(0x721e7b87) .word(0xef28e52b) +#if !defined(PULP) .word(0xf012b7db) +#endif .word(0x5692596b) .word(0x9569b80b) .word(0xbcffa9af) @@ -17827,11 +19457,15 @@ main: .word(0x6e24ba77) .word(0xe0f287f3) .word(0x28c4bcfb) +#if !defined(PULP) .word(0x72d5be5b) +#endif .word(0xab376b3b) .word(0x86a412e7) .word(0xffd4c057) +#if !defined(PULP) .word(0x54a831ab) +#endif .word(0x3a187087) .word(0x12f1347b) .word(0x91b09ceb) @@ -17880,7 +19514,9 @@ main: .word(0xfaae6733) .word(0xc6a343a3) .word(0x03743a0b) +#if !defined(PULP) .word(0x9e11f58b) +#endif .word(0xcadc5cab) .word(0x8dd4ea07) .word(0xd643bbeb) @@ -17895,7 +19531,9 @@ main: .word(0x7e89dd4b) .word(0x87dee487) .word(0x3631f233) +#if !defined(PULP) .word(0x64ab8ffb) +#endif .word(0x9b907a03) .word(0xb5b487f7) .word(0xf137f433) @@ -17913,8 +19551,12 @@ main: .word(0x8d32709b) .word(0x802bdfab) .word(0xa83f8ebb) +#if !defined(PULP) .word(0xb988660b) +#endif +#if !defined(PULP) .word(0x1de8127b) +#endif .word(0xf1937377) .word(0x92454d3b) .word(0x5cbad2d7) @@ -17930,7 +19572,9 @@ main: .word(0xf31dea6b) .word(0xf21926af) .word(0x5fb806af) +#if !defined(PULP) .word(0x59e323db) +#endif .word(0x164af777) .word(0x9619f1c7) .word(0x60dc973b) @@ -17998,7 +19642,9 @@ main: .word(0x84cfaa77) .word(0x6e17beb3) .word(0x3fb74853) +#if !defined(PULP) .word(0x2a92ee7b) +#endif .word(0xcc8246bb) .word(0xc7837aeb) .word(0x95c53803) @@ -18011,7 +19657,9 @@ main: .word(0x7e77d43b) .word(0x8e5d612b) .word(0xcef01acf) +#if !defined(PULP) .word(0x29d4827b) +#endif .word(0xec41bea3) .word(0x21e1e5b3) .word(0xc4d12457) @@ -18025,7 +19673,9 @@ main: .word(0x0fab7ec7) .word(0x8599e8a7) .word(0xd9078d73) +#if !defined(PULP) .word(0x4970b5db) +#endif .word(0x83ddf2f7) .word(0x2704be67) .word(0x7b02b133) @@ -18033,7 +19683,9 @@ main: .word(0x42997f2b) .word(0xe71e8d57) .word(0xae96a247) +#if !defined(PULP) .word(0x3753690b) +#endif .word(0x3c5cab6b) .word(0x635b6077) .word(0x6482ea9b) @@ -18061,11 +19713,15 @@ main: .word(0xdd8ba5d3) .word(0x4165c727) .word(0x7716d16b) +#if !defined(PULP) .word(0x80af1afb) +#endif .word(0x137624f7) .word(0xb2b9f907) .word(0x17666a2f) +#if !defined(PULP) .word(0x125137ab) +#endif .word(0xd705c04f) .word(0x4633916b) .word(0xbe0513eb) @@ -18104,7 +19760,9 @@ main: .word(0x93a03983) .word(0x0900cd2b) .word(0x78928007) +#if !defined(PULP) .word(0xa1f47e7b) +#endif .word(0x31312d6b) .word(0x23d369a3) .word(0xd4cf6dd3) @@ -18123,10 +19781,14 @@ main: .word(0x7c567967) .word(0x17270657) .word(0xbee3e5d7) +#if !defined(PULP) .word(0x7e9b7c0b) +#endif .word(0x4d62733b) .word(0xa8205313) +#if !defined(PULP) .word(0x56c0342b) +#endif .word(0x84d142a3) .word(0x8d4e3303) .word(0x263fdf2b) @@ -18160,7 +19822,9 @@ main: .word(0x5cf9b7fb) .word(0x58ab34eb) .word(0x2a6930fb) +#if !defined(PULP) .word(0x327cedfb) +#endif .word(0xce087f4b) .word(0xc20ae43b) .word(0x2514fdab) @@ -18187,7 +19851,9 @@ main: .word(0xda4b672f) .word(0x1f185543) .word(0xfe7ba87b) +#if !defined(PULP) .word(0xd689acdb) +#endif .word(0x77a6c8c7) .word(0x30817377) .word(0x5b2b1df7) @@ -18214,7 +19880,9 @@ main: .word(0x7abf36f7) .word(0x25f486af) .word(0x17ec662f) +#if !defined(PULP) .word(0x311d7b8b) +#endif .word(0x4b5d733b) .word(0x10754887) .word(0x08ec31b3) @@ -18285,7 +19953,9 @@ main: .word(0x3a21f983) .word(0xfc53e37b) .word(0x168a5d33) +#if !defined(PULP) .word(0x7648bb5b) +#endif .word(0xd430fb87) .word(0x08d7cf73) .word(0x897ab623) @@ -18305,7 +19975,9 @@ main: .word(0x7ff42543) .word(0x302b4823) .word(0x785a5ff7) +#if !defined(PULP) .word(0x428b73fb) +#endif .word(0xccd752eb) .word(0xd5781767) .word(0xde4350af) @@ -18364,7 +20036,9 @@ main: .word(0x0e180257) .word(0xe326a4e7) .word(0xa643a69b) +#if !defined(PULP) .word(0x0e34f77b) +#endif .word(0xb6624977) .word(0x2800fa03) .word(0xce002abb) @@ -18397,8 +20071,12 @@ main: .word(0x0efd2753) .word(0x0f575867) .word(0x6629a147) +#if !defined(PULP) .word(0x35bfbfab) +#endif +#if !defined(PULP) .word(0x49ad798b) +#endif .word(0x31093b7b) .word(0x2eca34fb) .word(0x45818b2f) @@ -18408,7 +20086,9 @@ main: .word(0xf4802c2f) .word(0x8a54ce2b) .word(0x77e713d3) +#if !defined(PULP) .word(0x62877ffb) +#endif .word(0xde1ed7cf) .word(0x81ed70d3) .word(0x39c6981b) @@ -18427,7 +20107,9 @@ main: .word(0x1c343467) .word(0x7689cfab) .word(0x70984fd3) +#if !defined(PULP) .word(0x1f64678b) +#endif .word(0xc88e28bb) .word(0x92a48507) .word(0x0722b42f) @@ -18483,19 +20165,25 @@ main: .word(0x4f439407) .word(0x6603744b) .word(0x69ebbfaf) +#if !defined(PULP) .word(0xb639758b) +#endif .word(0x0a9f7a83) .word(0xbb4e9287) .word(0x15d71dbb) .word(0xb64840bb) +#if !defined(PULP) .word(0xa0247f0b) +#endif .word(0x68572cbb) .word(0x6c61b2bb) .word(0x94dc052f) .word(0xe33c4bbb) .word(0xe60e191b) .word(0xb2c456af) +#if !defined(PULP) .word(0x3867f90b) +#endif .word(0x5d65592b) .word(0x94240ceb) .word(0x5ec4a6cb) @@ -18509,7 +20197,9 @@ main: .word(0xda2d79b3) .word(0x325cad2f) .word(0x771f2fcb) +#if !defined(PULP) .word(0x34a887fb) +#endif .word(0x02045a9b) .word(0x3be317b3) .word(0x79e9b8ab) @@ -18520,7 +20210,9 @@ main: .word(0x6574461b) .word(0xcdd65c07) .word(0x07879db3) +#if !defined(PULP) .word(0x982e88fb) +#endif .word(0xda98299b) .word(0x17caa433) .word(0x9490a86b) @@ -18537,7 +20229,9 @@ main: .word(0x5ea1b57b) .word(0xf538c01b) .word(0x909c9093) +#if !defined(PULP) .word(0x7ce7658b) +#endif .word(0xc6c9b457) .word(0x67ab9a93) .word(0x5237489b) @@ -18556,7 +20250,9 @@ main: .word(0x8ecefaeb) .word(0x8e992b53) .word(0x06e7bdc3) +#if !defined(PULP) .word(0xce63a65b) +#endif .word(0x5709c3f3) .word(0x7291e09b) .word(0x4b9dedaf) @@ -18640,7 +20336,9 @@ main: .word(0x35ef632b) .word(0x5dc1db3b) .word(0x64cf3433) +#if !defined(PULP) .word(0x608026db) +#endif .word(0x389dbb77) .word(0xf33ad013) .word(0x877ed977) @@ -18665,7 +20363,9 @@ main: .word(0xbe211157) .word(0x13a8d3a3) .word(0x6a0c4c07) +#if !defined(PULP) .word(0x0fca78fb) +#endif .word(0x2e397d23) .word(0x46677e77) .word(0xe90511af) @@ -18724,14 +20424,18 @@ main: .word(0x687f0707) .word(0x0f0c6007) .word(0x4ea8e54b) +#if !defined(PULP) .word(0x50c203fb) +#endif .word(0x9e6533bb) .word(0x465bce9b) .word(0x2eaab667) .word(0xa8b01ceb) .word(0xbead3447) .word(0x27d75d4b) +#if !defined(PULP) .word(0x7032e58b) +#endif .word(0x4e3f1b1b) .word(0x76efffd3) .word(0xbfa6bf3b) @@ -18740,7 +20444,9 @@ main: .word(0x78aafb83) .word(0x804a5a33) .word(0x4e9f112f) +#if !defined(PULP) .word(0xa8298c7b) +#endif .word(0xd94d5057) .word(0xb9ef36b3) .word(0x03accdd7) @@ -18769,7 +20475,9 @@ main: .word(0x2e14154b) .word(0xa8b705eb) .word(0xb1918527) +#if !defined(PULP) .word(0x6be8e27b) +#endif .word(0x54d4c8e7) .word(0xdde50c77) .word(0x513daf9b) @@ -18829,11 +20537,15 @@ main: .word(0xdbaea4fb) .word(0x0b9202eb) .word(0x4a5f8af7) +#if !defined(PULP) .word(0xc07763fb) +#endif .word(0x9fa075f7) .word(0x9bef1ad3) .word(0xd71b8d9b) +#if !defined(PULP) .word(0x83d734ab) +#endif .word(0xafac78ab) .word(0x60ec1df7) .word(0x8800c5d3) @@ -18847,7 +20559,9 @@ main: .word(0x77739407) .word(0xbe60b247) .word(0x9aa9346b) +#if !defined(PULP) .word(0x6928acdb) +#endif .word(0xdd4befab) .word(0x995ae83b) .word(0x1107333b) @@ -18942,7 +20656,9 @@ main: .word(0xf18f109b) .word(0x96441c47) .word(0x65024d53) +#if !defined(PULP) .word(0xc38d630b) +#endif .word(0x7a76fbfb) .word(0x5b805013) .word(0x482eeeab) @@ -18960,14 +20676,18 @@ main: .word(0xdcfea51b) .word(0x550eb90b) .word(0xb850e6a7) +#if !defined(PULP) .word(0xd5bd31db) +#endif .word(0x5191b553) .word(0x76830beb) .word(0xa11c9d07) .word(0x0406b16b) .word(0x9b915613) .word(0x0363a6f7) +#if !defined(PULP) .word(0xd5af678b) +#endif .word(0x42385c87) .word(0xb891f5b3) .word(0x00cf321b) @@ -18988,7 +20708,9 @@ main: .word(0x75abddd7) .word(0x4b99b03b) .word(0xdc895767) +#if !defined(PULP) .word(0xd9a3f0fb) +#endif .word(0xe281123b) .word(0x518cc8d3) .word(0xb06a12eb) @@ -19010,7 +20732,9 @@ main: .word(0xaf3e251b) .word(0x151cd6e7) .word(0x33e711eb) +#if !defined(PULP) .word(0x560f3edb) +#endif .word(0x9d054953) .word(0xd386a7e7) .word(0x6687e1e7) @@ -19019,7 +20743,9 @@ main: .word(0x53befe1b) .word(0x1bceeb3b) .word(0x4199eb2b) +#if !defined(PULP) .word(0xadb67b8b) +#endif .word(0xa7cc3d0b) .word(0xca902ebb) .word(0xe33775ab) @@ -19065,20 +20791,28 @@ main: .word(0x141ccbeb) .word(0x7dd4a757) .word(0x30183633) +#if !defined(PULP) .word(0xd942a0db) +#endif .word(0xc35991fb) .word(0x0376c2e7) +#if !defined(PULP) .word(0x63e8b2db) +#endif .word(0xdacbc5a7) .word(0x4dbd7767) .word(0x2989c73b) .word(0xf5d4d0a3) .word(0x72039f3b) +#if !defined(PULP) .word(0x13d0f8fb) +#endif .word(0x7849daeb) .word(0xbd789493) .word(0x34e84bf7) +#if !defined(PULP) .word(0x7ffdafdb) +#endif .word(0x10464aaf) .word(0x4af7d4af) .word(0x28d7731b) @@ -19086,8 +20820,12 @@ main: .word(0x85a0f803) .word(0xfef0dca3) .word(0x7b08b68b) +#if !defined(PULP) .word(0xc4f9ad5b) +#endif +#if !defined(PULP) .word(0x3a3a698b) +#endif .word(0x9495141b) .word(0x79d5516b) .word(0xcd25599b) @@ -19097,7 +20835,9 @@ main: .word(0x4dc0252f) .word(0xd3599357) .word(0xfd06e5a3) +#if !defined(PULP) .word(0x78a3ed8b) +#endif .word(0x57fbf687) .word(0x11942a67) .word(0xedc62d77) @@ -19109,7 +20849,9 @@ main: .word(0x7eb77547) .word(0xa7d54aa7) .word(0xb7d403bb) +#if !defined(PULP) .word(0x08a584fb) +#endif .word(0x695e2a33) .word(0x61570873) .word(0xda6fa61b) @@ -19118,7 +20860,9 @@ main: .word(0x95e9586b) .word(0xac7081d7) .word(0xad1a1153) +#if !defined(PULP) .word(0xf4f4b4db) +#endif .word(0x7e0ebab3) .word(0xe7711deb) .word(0x8f90d23b) @@ -19139,7 +20883,9 @@ main: .word(0x524dd523) .word(0x3d2056af) .word(0xc906a9e7) +#if !defined(PULP) .word(0x976a6e0b) +#endif .word(0xf3c8ad3b) .word(0x701222b3) .word(0x42e5d42f) @@ -19161,7 +20907,9 @@ main: .word(0xc8675f6b) .word(0xf1d8d2af) .word(0x95073da3) +#if !defined(PULP) .word(0xbb37690b) +#endif .word(0xaa3a783b) .word(0x63761bd3) .word(0x7d9cfe33) @@ -19177,7 +20925,9 @@ main: .word(0x41b8a0e7) .word(0x592e39bb) .word(0x4785aed3) +#if !defined(PULP) .word(0x75c027fb) +#endif .word(0x3aba321b) .word(0x7ba98ea7) .word(0x2f0701f7) @@ -19185,7 +20935,9 @@ main: .word(0xcb80e0bb) .word(0xbcf37f57) .word(0x9268ee87) +#if !defined(PULP) .word(0x23e37d0b) +#endif .word(0x666febc7) .word(0x5e93cc2b) .word(0x1a0a1757) @@ -19214,8 +20966,12 @@ main: .word(0xf0f1f87b) .word(0x9e0a89bb) .word(0x9fb8012f) +#if !defined(PULP) .word(0xabcdec8b) +#endif +#if !defined(PULP) .word(0x196df47b) +#endif .word(0x3f95d093) .word(0x96cf1907) .word(0x1df0ea23) @@ -19253,7 +21009,9 @@ main: .word(0x3fe396e7) .word(0x065955d3) .word(0x3a470ef3) +#if !defined(PULP) .word(0xe224bfdb) +#endif .word(0x5a17e1bb) .word(0xde21f26b) .word(0x47106eaf) @@ -19272,7 +21030,9 @@ main: .word(0xc180f307) .word(0x8396b077) .word(0x01370287) +#if !defined(PULP) .word(0xf835197b) +#endif .word(0xffe05b43) .word(0xe8c445f7) .word(0x53ff4fbb) @@ -19281,9 +21041,13 @@ main: .word(0xee3e3047) .word(0x74b54b27) .word(0xb4c76887) +#if !defined(PULP) .word(0xf0d6e30b) +#endif .word(0x743a94eb) +#if !defined(PULP) .word(0xd6916b0b) +#endif .word(0x8b930457) .word(0x2c89b0fb) .word(0x79811e07) @@ -19291,7 +21055,9 @@ main: .word(0x0506b58b) .word(0xa090e277) .word(0x7678abb3) +#if !defined(PULP) .word(0x74c2ef7b) +#endif .word(0x2356b80b) .word(0xbf9c8857) .word(0x9e1d85a7) @@ -19303,7 +21069,9 @@ main: .word(0xce2a4223) .word(0x6471b0ab) .word(0x5b2a4af3) +#if !defined(PULP) .word(0xf0212bdb) +#endif .word(0xee1dc267) .word(0x4a483153) .word(0x85584b87) @@ -19331,7 +21099,9 @@ main: .word(0x534927bb) .word(0xb2f4a4e7) .word(0x7be07a27) +#if !defined(PULP) .word(0x30d4147b) +#endif .word(0xfbb03657) .word(0x2d4b961b) .word(0x241e36bb) @@ -19361,7 +21131,9 @@ main: .word(0x67f3c09b) .word(0x883988a7) .word(0xee785e77) +#if !defined(PULP) .word(0x53c8b1ab) +#endif .word(0x260d7d43) .word(0x31cecdaf) .word(0x89a903af) @@ -19398,7 +21170,9 @@ main: .word(0xeb7778eb) .word(0x8747ee67) .word(0x704c8b1b) +#if !defined(PULP) .word(0x2531698b) +#endif .word(0xdf885bcb) .word(0x219ee0f7) .word(0xbe4916af) @@ -19414,7 +21188,9 @@ main: .word(0x358ad1f7) .word(0xd71436cf) .word(0x9cb65d2f) +#if !defined(PULP) .word(0x5925728b) +#endif .word(0x5e44318b) .word(0x8dd97257) .word(0x94a942bb) @@ -19425,7 +21201,9 @@ main: .word(0x1f87f89b) .word(0x40b0c587) .word(0xe7a39a6b) +#if !defined(PULP) .word(0xe3ebacdb) +#endif .word(0xc50e71eb) .word(0x0274a0e7) .word(0x19e4e8af) @@ -19433,7 +21211,9 @@ main: .word(0x06fe89bb) .word(0x5e6892fb) .word(0xe731dfe7) +#if !defined(PULP) .word(0x525f3aab) +#endif .word(0xe65f5f87) .word(0x9352f36b) .word(0xcefbf44f) @@ -19455,19 +21235,27 @@ main: .word(0x65d3246b) .word(0x189a50a3) .word(0xae4fe1c3) +#if !defined(PULP) .word(0x1b74737b) +#endif .word(0x07643ccb) +#if !defined(PULP) .word(0x3c2c630b) +#endif .word(0x0e33b40b) .word(0x1857b123) .word(0x6323b21b) +#if !defined(PULP) .word(0xf201bedb) +#endif .word(0x561daec7) .word(0xd95f4beb) .word(0x17eeb12b) .word(0xf6a6a5c7) .word(0x8327e6af) +#if !defined(PULP) .word(0x10d1027b) +#endif .word(0x5fd2358b) .word(0xa1548eb3) .word(0xe114b4fb) @@ -19488,7 +21276,9 @@ main: .word(0x005bf7eb) .word(0x47e61257) .word(0x52d315a7) +#if !defined(PULP) .word(0xfca633db) +#endif .word(0x7da71d3b) .word(0xca0832af) .word(0xa74c6f27) @@ -19510,7 +21300,9 @@ main: .word(0x79a025e7) .word(0x0fb55b4b) .word(0x255bcda7) +#if !defined(PULP) .word(0xc5d336db) +#endif .word(0x4810d59b) .word(0xaf7c4453) .word(0x7ce71d93) @@ -19521,7 +21313,9 @@ main: .word(0x6438971b) .word(0x8e7520c7) .word(0x61959307) +#if !defined(PULP) .word(0x47e8205b) +#endif .word(0xef68a8eb) .word(0xd944a957) .word(0xe8b9746b) @@ -19535,13 +21329,17 @@ main: .word(0x7f31774b) .word(0x394d993b) .word(0x6514ce67) +#if !defined(PULP) .word(0x24a9e27b) +#endif .word(0xefef41c7) .word(0x6ae0582b) .word(0x037566af) .word(0x0c30a733) .word(0x5488cd73) +#if !defined(PULP) .word(0x5032e68b) +#endif .word(0x7b03378b) .word(0x179c90cb) .word(0xec85d52b) @@ -19579,7 +21377,9 @@ main: .word(0x6a0673d3) .word(0x12a2b0eb) .word(0xfcfcbcab) +#if !defined(PULP) .word(0xf0c8365b) +#endif .word(0xafad01cb) .word(0x8c67a057) .word(0x36aaa753) @@ -19589,7 +21389,9 @@ main: .word(0x17bd4657) .word(0xce4b963b) .word(0x168262d3) +#if !defined(PULP) .word(0xa011620b) +#endif .word(0x15736087) .word(0xcac6f083) .word(0x56c6ec2f) @@ -19600,13 +21402,19 @@ main: .word(0x2f5ca677) .word(0x618af8a7) .word(0x30a2fdf7) +#if !defined(PULP) .word(0x21d19ffb) +#endif .word(0xb04c5de7) .word(0x4b0a3903) .word(0xccea3a83) +#if !defined(PULP) .word(0x8985697b) +#endif .word(0xa6dd65d7) +#if !defined(PULP) .word(0xc8e96b0b) +#endif .word(0xa40b0007) .word(0x9621c3b3) .word(0xf9c44f1b) @@ -19629,7 +21437,9 @@ main: .word(0x91db07f7) .word(0x1ec33f1b) .word(0x3e831853) +#if !defined(PULP) .word(0x092b957b) +#endif .word(0x282bcc77) .word(0x1032cd33) .word(0xea6cc3d3) @@ -19707,8 +21517,12 @@ main: .word(0x7027d633) .word(0xd397fd3b) .word(0x6babdfd7) +#if !defined(PULP) .word(0xe11ce00b) +#endif +#if !defined(PULP) .word(0x489e9c7b) +#endif .word(0x7f07e8a3) .word(0x13fa2e1b) .word(0xd7a1514b) @@ -19721,7 +21535,9 @@ main: .word(0xa63edd67) .word(0x511ca29b) .word(0x33bdb09b) +#if !defined(PULP) .word(0xbd10fb0b) +#endif .word(0x6c0edc57) .word(0xa581d823) .word(0x87a5e91b) @@ -19729,7 +21545,9 @@ main: .word(0x196d7ea7) .word(0x7b5f9de7) .word(0x772a114f) +#if !defined(PULP) .word(0xb7ad798b) +#endif .word(0x1848789b) .word(0xd6dadbf7) .word(0x3425befb) @@ -19776,7 +21594,9 @@ main: .word(0x7a83a83b) .word(0x37a6fbb3) .word(0x8f8cae67) +#if !defined(PULP) .word(0x74c532db) +#endif .word(0xa26158af) .word(0x1029ebeb) .word(0x426afba3) @@ -19791,7 +21611,9 @@ main: .word(0xae79f13b) .word(0xff4a23af) .word(0xca799d27) +#if !defined(PULP) .word(0xfff3658b) +#endif .word(0x57ed37c7) .word(0x92d91557) .word(0x941286a7) @@ -19834,7 +21656,9 @@ main: .word(0x9afe612f) .word(0x79f35cab) .word(0x32d793bb) +#if !defined(PULP) .word(0x86b6b5ab) +#endif .word(0x7fca36fb) .word(0x6d27c8bb) .word(0x1df327d7) @@ -19860,7 +21684,9 @@ main: .word(0x612eec2b) .word(0xe249d753) .word(0x4e193c57) +#if !defined(PULP) .word(0x8a00618b) +#endif .word(0x6bbcf12b) .word(0xe39d9f87) .word(0x54df707b) @@ -19924,7 +21750,9 @@ main: .word(0xeb3ee6b3) .word(0xdf9813eb) .word(0xcb6ce91b) +#if !defined(PULP) .word(0x0781670b) +#endif .word(0x6c224923) .word(0x41f94e6b) .word(0xb2f5d0a3) @@ -19993,7 +21821,9 @@ main: .word(0x88b97da3) .word(0x704ff4bb) .word(0x72b98a6b) +#if !defined(PULP) .word(0x1cf1eb7b) +#endif .word(0x0f68197b) .word(0xd467f8bb) .word(0xf65d91eb) @@ -20022,12 +21852,16 @@ main: .word(0x8d7c091b) .word(0xc5bddd23) .word(0x35b90087) +#if !defined(PULP) .word(0x4d9db35b) +#endif .word(0x41729ba7) .word(0x5262f623) .word(0xfb08a067) .word(0x7e915767) +#if !defined(PULP) .word(0x441d727b) +#endif .word(0x976f2ebb) .word(0x295efef7) .word(0x02f3753b) @@ -20055,7 +21889,9 @@ main: .word(0x4ee97c33) .word(0xb6fa67c7) .word(0x94632e1b) +#if !defined(PULP) .word(0x6232b85b) +#endif .word(0xce038377) .word(0xca0c34e7) .word(0xe81d65d7) @@ -20095,7 +21931,9 @@ main: .word(0x81b6cbbb) .word(0x5d4309d7) .word(0x7a71fa2b) +#if !defined(PULP) .word(0xfeacae5b) +#endif .word(0x2d6fc22f) .word(0x52b7e7f7) .word(0x4f3a7343) @@ -20116,13 +21954,17 @@ main: .word(0xf8b11feb) .word(0xfbe32c57) .word(0x97842ac7) +#if !defined(PULP) .word(0x49e1f58b) +#endif .word(0x056bc7f7) .word(0x15f3fd1b) .word(0xa81dae53) .word(0x3f081ae7) .word(0xb895303b) +#if !defined(PULP) .word(0x059dff7b) +#endif .word(0x48155887) .word(0xd7e76c2f) .word(0xfc46e853) @@ -20173,7 +22015,9 @@ main: .word(0x5b946a2f) .word(0x2b7671f7) .word(0x8631f67b) +#if !defined(PULP) .word(0x4b29eb8b) +#endif .word(0x5ede8227) .word(0x7c25f12b) .word(0x679c60ab) @@ -20184,7 +22028,9 @@ main: .word(0x41009287) .word(0x91229d13) .word(0x121caf6b) +#if !defined(PULP) .word(0xd836707b) +#endif .word(0xfd3d9627) .word(0xf3365c27) .word(0x6e9fd52b) @@ -20232,7 +22078,9 @@ main: .word(0xd6d65c77) .word(0x29a37ab3) .word(0x779a94f7) +#if !defined(PULP) .word(0x5bec6c7b) +#endif .word(0xcc8447bb) .word(0xda42969b) .word(0xec4aa12f) @@ -20248,7 +22096,9 @@ main: .word(0xe565e1bb) .word(0x127b6cab) .word(0xe5bcc0ab) +#if !defined(PULP) .word(0xb7c87a8b) +#endif .word(0xdef17807) .word(0x622fe9bb) .word(0xcdd0767b) @@ -20284,7 +22134,9 @@ main: .word(0x8c63c857) .word(0xc6de15bb) .word(0x86e96923) +#if !defined(PULP) .word(0xefc1f70b) +#endif .word(0xb7e16e1b) .word(0xdeb4e2b3) .word(0x7c9e40d3) @@ -20304,7 +22156,9 @@ main: .word(0x7fe9e823) .word(0xefb2c0af) .word(0x7611f683) +#if !defined(PULP) .word(0x18d1f97b) +#endif .word(0x6aedb253) .word(0x69912afb) .word(0x63ef9da7) @@ -20349,7 +22203,9 @@ main: .word(0xd63bcac7) .word(0x0670f22f) .word(0x453f3b7b) +#if !defined(PULP) .word(0x3bf17d7b) +#endif .word(0x6677f76b) .word(0x54e7dfd7) .word(0x074ca7d3) @@ -20369,7 +22225,9 @@ main: .word(0xfce1f4f7) .word(0x8fecce57) .word(0x64b0fdbb) +#if !defined(PULP) .word(0xbc2ce70b) +#endif .word(0xc4416567) .word(0x90ce4c67) .word(0xc57bba2f) @@ -20380,7 +22238,9 @@ main: .word(0x6ee1decb) .word(0xf898d2f7) .word(0x0bee5d57) +#if !defined(PULP) .word(0x8b8efb7b) +#endif .word(0x01afb5f7) .word(0xac45d59b) .word(0x98c23a33) @@ -20459,7 +22319,9 @@ main: .word(0xc11a7eab) .word(0xf52ea4eb) .word(0x21b94b67) +#if !defined(PULP) .word(0x2894b22b) +#endif .word(0xc7a377d7) .word(0xd8d649a3) .word(0xf6663bab) @@ -20473,7 +22335,9 @@ main: .word(0x9ba6ef23) .word(0x3a4082a7) .word(0x6b27c32b) +#if !defined(PULP) .word(0xd1fae28b) +#endif .word(0x6474b4f7) .word(0x7be9379b) .word(0x8a0a0573) @@ -20520,7 +22384,9 @@ main: .word(0x13de0987) .word(0x8cb10177) .word(0x6c68503b) +#if !defined(PULP) .word(0x44c1fb0b) +#endif .word(0xa9d45a77) .word(0x1d6eba23) .word(0xb079731b) @@ -20528,7 +22394,9 @@ main: .word(0xeaf3a12f) .word(0x3d93a853) .word(0x9aa9941b) +#if !defined(PULP) .word(0x9985f10b) +#endif .word(0x6db6c8af) .word(0xf1f5a8af) .word(0x21698477) @@ -20544,7 +22412,9 @@ main: .word(0x5e5e3653) .word(0xac316867) .word(0x3283e5b3) +#if !defined(PULP) .word(0x4f7a7c0b) +#endif .word(0xb42312b3) .word(0x53144573) .word(0xc748667b) @@ -20554,13 +22424,19 @@ main: .word(0x0f841907) .word(0x7ac36aaf) .word(0xd45157ab) +#if !defined(PULP) .word(0x43fd7e0b) +#endif .word(0xc787bbc3) .word(0xa3cf15eb) +#if !defined(PULP) .word(0x70c8e38b) +#endif .word(0xd64006a7) .word(0xdf86cbf7) +#if !defined(PULP) .word(0xa21df30b) +#endif .word(0xd4656cf7) .word(0xb096017b) .word(0x9f75657b) @@ -20639,7 +22515,9 @@ main: .word(0xb2ec6e67) .word(0x5ab884a7) .word(0x08d172f7) +#if !defined(PULP) .word(0x2c0f817b) +#endif .word(0x67ae004b) .word(0xfcedadbb) .word(0x2467b1a3) @@ -20675,7 +22553,9 @@ main: .word(0xd370dd2f) .word(0x08a37703) .word(0x9b33941b) +#if !defined(PULP) .word(0x448eb05b) +#endif .word(0xbe4540cf) .word(0x10b5916b) .word(0xe70f127b) @@ -20693,7 +22573,9 @@ main: .word(0x420e5567) .word(0xa8fcb72f) .word(0x9fd55757) +#if !defined(PULP) .word(0x2c2df50b) +#endif .word(0x9505a3fb) .word(0x87c353c3) .word(0xf942ab2f) @@ -20704,7 +22586,9 @@ main: .word(0x5260aceb) .word(0x5b79197b) .word(0x22bfca1b) +#if !defined(PULP) .word(0x1a9746ab) +#endif .word(0x5850c9a3) .word(0x43821793) .word(0xd86feaaf) @@ -20712,7 +22596,9 @@ main: .word(0xe453e2ab) .word(0xd2114327) .word(0x4591e277) +#if !defined(PULP) .word(0xdd4cf20b) +#endif .word(0x51f11877) .word(0xe0e846e7) .word(0x143005a7) @@ -20726,7 +22612,9 @@ main: .word(0xa38ce76b) .word(0x5654313b) .word(0xc23a4907) +#if !defined(PULP) .word(0x73a47e8b) +#endif .word(0xe5c97ba3) .word(0x487c506b) .word(0x2fcc7447) @@ -20747,7 +22635,9 @@ main: .word(0xf288b303) .word(0x4392e7a3) .word(0x5ca93733) +#if !defined(PULP) .word(0xde3ab6db) +#endif .word(0x9b7be5a3) .word(0x5987ab53) .word(0x7407b757) @@ -20775,7 +22665,9 @@ main: .word(0x07615bcb) .word(0x0e6e11eb) .word(0xd6dca2d7) +#if !defined(PULP) .word(0x807d3b2b) +#endif .word(0xf35ddc77) .word(0x82774453) .word(0xc7e4e8f7) @@ -20787,7 +22679,9 @@ main: .word(0x54246bf7) .word(0x244e3b83) .word(0x88eddcf7) +#if !defined(PULP) .word(0x1ac2ff0b) +#endif .word(0x100af827) .word(0xb727b457) .word(0xcc15aa1b) @@ -20854,7 +22748,9 @@ main: .word(0xee73c24b) .word(0x900be3ab) .word(0xda8b8cfb) +#if !defined(PULP) .word(0xfdec628b) +#endif .word(0x53cc2a77) .word(0xe819caeb) .word(0xfabe93f7) @@ -20864,7 +22760,9 @@ main: .word(0x8313076b) .word(0x313ec92f) .word(0x320bb4fb) +#if !defined(PULP) .word(0x104c637b) +#endif .word(0x3403859b) .word(0xe2dfdabb) .word(0x6e36f44b) @@ -21017,7 +22915,9 @@ main: .word(0x02fd5123) .word(0x8e773ee7) .word(0x1dfe360b) +#if !defined(PULP) .word(0xaca6fb0b) +#endif .word(0xf5544caf) .word(0x9c194ee7) .word(0x2f02f703) @@ -21037,7 +22937,9 @@ main: .word(0xbfb1bd8b) .word(0x033aed1b) .word(0x2672a27b) +#if !defined(PULP) .word(0xa7f56b0b) +#endif .word(0x476e409b) .word(0x3d84c9f3) .word(0xa8fb433b) @@ -21072,7 +22974,9 @@ main: .word(0x4603d6cf) .word(0x3f0b5767) .word(0xc2afbe53) +#if !defined(PULP) .word(0x7ffff40b) +#endif .word(0xf15bd02b) .word(0xf827f97b) .word(0xe1a98d2f) @@ -21105,13 +23009,21 @@ main: .word(0xbf26624b) .word(0x311871a3) .word(0x572bf29b) +#if !defined(PULP) .word(0x5fc7c72b) +#endif .word(0x8921e687) +#if !defined(PULP) .word(0x57ee617b) +#endif +#if !defined(PULP) .word(0x2166857b) +#endif .word(0x2e217353) .word(0xb4a1de27) +#if !defined(PULP) .word(0x74d37d8b) +#endif .word(0xd7527b3b) .word(0x45fd62ab) .word(0x95b0cd33) @@ -21137,20 +23049,28 @@ main: .word(0xa691d24f) .word(0x6e3e57bb) .word(0x0d1ce423) +#if !defined(PULP) .word(0xa855758b) +#endif .word(0xcda6bf8b) +#if !defined(PULP) .word(0xa75e6d0b) +#endif .word(0x9f5acb53) .word(0xd3245727) .word(0x8e47b6eb) .word(0x58504f53) .word(0x6bde0457) .word(0x665167cf) +#if !defined(PULP) .word(0xe8a4a6db) +#endif .word(0x5e976427) .word(0x37b2964f) .word(0x8da013eb) +#if !defined(PULP) .word(0x5da936ab) +#endif .word(0x86904e1b) .word(0x72bde76b) .word(0x5a59e72b) @@ -21168,7 +23088,9 @@ main: .word(0xffd9dec3) .word(0xd6b9469b) .word(0x81c041ab) +#if !defined(PULP) .word(0x5a47b4ab) +#endif .word(0xaded9b67) .word(0x7a92522f) .word(0x5ce8df6b) @@ -21273,7 +23195,9 @@ main: .word(0x4a9b7b03) .word(0x916657a3) .word(0x2142f8d3) +#if !defined(PULP) .word(0xc36afa8b) +#endif .word(0xe33ebc77) .word(0x4fd8adaf) .word(0x6fe8e4bb) @@ -21284,7 +23208,9 @@ main: .word(0x87486057) .word(0x50183383) .word(0xca4b1077) +#if !defined(PULP) .word(0x52e8f80b) +#endif .word(0x05a3529b) .word(0x6947eb27) .word(0x52e822b3) @@ -21295,13 +23221,17 @@ main: .word(0x9f9fc2e7) .word(0xd377d8eb) .word(0x1845f0f7) +#if !defined(PULP) .word(0xbcf5658b) +#endif .word(0x6bd3d1d7) .word(0x59e2b1b3) .word(0x54d80f87) .word(0x0ccf842f) .word(0xd96ad423) +#if !defined(PULP) .word(0xa5b76c0b) +#endif .word(0x078849ab) .word(0xa4624a6b) .word(0xe666c3ab) @@ -21330,14 +23260,18 @@ main: .word(0x4711b7b3) .word(0xfaccb667) .word(0x2c9000b3) +#if !defined(PULP) .word(0x2600638b) +#endif .word(0x1ecc0253) .word(0x87873b4b) .word(0x193d6e1b) .word(0x714e40ab) .word(0xcf7d4ed3) .word(0x1a34821b) +#if !defined(PULP) .word(0x08603bab) +#endif .word(0x13e2709b) .word(0x005113a7) .word(0x82ba382f) @@ -21354,11 +23288,15 @@ main: .word(0x42303103) .word(0x1af9cd2b) .word(0x85fd1857) +#if !defined(PULP) .word(0x9939e87b) +#endif .word(0xe7b85827) .word(0x67d91467) .word(0x9fb56857) +#if !defined(PULP) .word(0x28841bfb) +#endif .word(0x8c773133) .word(0xbee68143) .word(0xbf00c5af) @@ -21428,7 +23366,9 @@ main: .word(0x98d6462f) .word(0x74176187) .word(0xb65e5b9b) +#if !defined(PULP) .word(0x957af50b) +#endif .word(0x2be409bb) .word(0x19e3a067) .word(0x0d8aa257) @@ -21472,14 +23412,18 @@ main: .word(0xe7a7c627) .word(0x7491b86b) .word(0x32a63f83) +#if !defined(PULP) .word(0xd05c7a8b) +#endif .word(0x230cd12b) .word(0xb514b9f7) .word(0xe23951d3) .word(0x6db6a5eb) .word(0xe609af53) .word(0x05a6f577) +#if !defined(PULP) .word(0x0c75e20b) +#endif .word(0x947d4ca3) .word(0xe8520ceb) .word(0x045ff3bb) @@ -21489,7 +23433,9 @@ main: .word(0xb5a666d7) .word(0xe62e4bc7) .word(0x2fa0e4af) +#if !defined(PULP) .word(0x8025067b) +#endif .word(0x70100bb3) .word(0x3baa34a3) .word(0x1a5aca6b) @@ -21499,9 +23445,13 @@ main: .word(0xc8024773) .word(0xdad193d7) .word(0x72e3f6d7) +#if !defined(PULP) .word(0x78957c0b) +#endif .word(0x33e0b077) +#if !defined(PULP) .word(0x30187afb) +#endif .word(0x49adbb1b) .word(0x5a870173) .word(0x1a8e16a7) @@ -21533,7 +23483,9 @@ main: .word(0x3d6d3d33) .word(0x2da759a3) .word(0x45f96687) +#if !defined(PULP) .word(0x8ea07f8b) +#endif .word(0x14f21767) .word(0xaceac467) .word(0x886d4933) @@ -21550,7 +23502,9 @@ main: .word(0x2d31752f) .word(0x397a9eb3) .word(0xd9835657) +#if !defined(PULP) .word(0x1aacfa8b) +#endif .word(0xc56535a3) .word(0xbdc5ec87) .word(0x406c011b) @@ -21618,7 +23572,9 @@ main: .word(0xfa66a53b) .word(0x3c944277) .word(0x80fa7cf7) +#if !defined(PULP) .word(0xacf5eb8b) +#endif .word(0xeae85e1b) .word(0x267dafcf) .word(0x7105e8d3) @@ -21628,7 +23584,9 @@ main: .word(0xbb0768d3) .word(0x4065f8d3) .word(0xe9ed1b53) +#if !defined(PULP) .word(0xad6d6e0b) +#endif .word(0x9a9ed31b) .word(0x5c91613b) .word(0xd0cc62a3) @@ -21683,7 +23641,9 @@ main: .word(0x7e1ad657) .word(0xa8b1cf27) .word(0x6597f8d7) +#if !defined(PULP) .word(0x0858747b) +#endif .word(0x9d38c92f) .word(0x35e3b267) .word(0x5538581b) @@ -21703,13 +23663,17 @@ main: .word(0x22c4d827) .word(0x16f274cb) .word(0x1a0063bb) +#if !defined(PULP) .word(0x70b33c2b) +#endif .word(0x74da55ab) .word(0x965c299b) .word(0x9d1aaf57) .word(0x48d762e7) .word(0x90287527) +#if !defined(PULP) .word(0x7459395b) +#endif .word(0x73751bd7) .word(0x9a860fb3) .word(0x57c0af77) @@ -21745,7 +23709,9 @@ main: .word(0x94c3369b) .word(0xa2906f23) .word(0x01571c87) +#if !defined(PULP) .word(0x6882b75b) +#endif .word(0x964f2e57) .word(0x14c04d73) .word(0xd8b7ae2f) @@ -21767,7 +23733,9 @@ main: .word(0x4bc10dd7) .word(0x30df7fd3) .word(0x998dbfab) +#if !defined(PULP) .word(0x53f6315b) +#endif .word(0xf6295ad3) .word(0x5b9bf8f7) .word(0xc9c16a3b) @@ -21792,7 +23760,9 @@ main: .word(0x3376863b) .word(0x958d8007) .word(0x849a5cab) +#if !defined(PULP) .word(0x78d547ab) +#endif .word(0xcc33f4a3) .word(0xa7286ea7) .word(0x25a0d577) @@ -21833,7 +23803,9 @@ main: .word(0x823a01eb) .word(0x863774c7) .word(0xbe0902c3) +#if !defined(PULP) .word(0xee45215b) +#endif .word(0x2c2a07f3) .word(0xa28845e7) .word(0x6084f333) @@ -21862,7 +23834,9 @@ main: .word(0x163609f7) .word(0x26b3ff03) .word(0xde9fbde7) +#if !defined(PULP) .word(0x114985fb) +#endif .word(0x4761f203) .word(0xbf46b17b) .word(0x4727fcd3) @@ -21897,7 +23871,9 @@ main: .word(0x0788b7e7) .word(0xccd8c977) .word(0xb6926a43) +#if !defined(PULP) .word(0xd9e4fefb) +#endif .word(0xe20ef6fb) .word(0x3428029b) .word(0xd3da1027) @@ -21908,7 +23884,9 @@ main: .word(0xffa41813) .word(0xa0a9faa3) .word(0xa79415cf) +#if !defined(PULP) .word(0xd443fe0b) +#endif .word(0xa7c1f027) .word(0x84a96887) .word(0x8272d153) @@ -21919,8 +23897,12 @@ main: .word(0x8dccc527) .word(0x60371687) .word(0xbd709fa7) +#if !defined(PULP) .word(0x8d026b0b) +#endif +#if !defined(PULP) .word(0xf8d6335b) +#endif .word(0xbd8d7bd7) .word(0x06a7023b) .word(0x4ff142f7) @@ -21940,7 +23922,9 @@ main: .word(0x599b42f7) .word(0x2fcc51a7) .word(0xddd372d7) +#if !defined(PULP) .word(0x81ce847b) +#endif .word(0x211587f7) .word(0x0f2f702f) .word(0xd095b02b) @@ -21979,7 +23963,9 @@ main: .word(0x4658f34b) .word(0xcfcfd477) .word(0x6c2087bb) +#if !defined(PULP) .word(0x5b9decfb) +#endif .word(0x1f8e7583) .word(0x1143e077) .word(0x31c5eca3) @@ -21993,7 +23979,9 @@ main: .word(0x1730cec3) .word(0x9e231457) .word(0x35626507) +#if !defined(PULP) .word(0xa96b80fb) +#endif .word(0xc4395f1b) .word(0x03747f9b) .word(0xbe86d1eb) @@ -22022,11 +24010,15 @@ main: .word(0x3d245793) .word(0x66224327) .word(0xaf177b43) +#if !defined(PULP) .word(0x0988047b) +#endif .word(0x0e5dd6ab) .word(0x8742e09b) .word(0x1a19183b) +#if !defined(PULP) .word(0x322af78b) +#endif .word(0xc5d711eb) .word(0x43f56c07) .word(0xdd0c5eaf) @@ -22092,7 +24084,9 @@ main: .word(0x34d9096b) .word(0x5b1b6ad3) .word(0x66974753) +#if !defined(PULP) .word(0x062be20b) +#endif .word(0x00a4cb67) .word(0xa36abb83) .word(0x4ca06b9b) @@ -22116,7 +24110,9 @@ main: .word(0xfd7f3fbb) .word(0x1bec2077) .word(0xd7f9c8f7) +#if !defined(PULP) .word(0xb8479b7b) +#endif .word(0xe4bee22f) .word(0x282f5e07) .word(0x26feba2b) @@ -22149,13 +24145,17 @@ main: .word(0x588ba277) .word(0x8ae165f7) .word(0x26fa06eb) +#if !defined(PULP) .word(0x62bb73fb) +#endif .word(0x7ed89f9b) .word(0x264c0373) .word(0x3e665ed7) .word(0x8087ded3) .word(0xaa9cf71b) +#if !defined(PULP) .word(0x428a7f8b) +#endif .word(0xf2c63967) .word(0xaf9c1443) .word(0xfe1ef2fb) @@ -22163,7 +24163,9 @@ main: .word(0x75ed642b) .word(0xbe0dc02b) .word(0xc3c5296b) +#if !defined(PULP) .word(0xd39cf78b) +#endif .word(0x57a4bbcf) .word(0x4e6f4dd3) .word(0xf17f0c87) @@ -22171,13 +24173,17 @@ main: .word(0x16cc51c7) .word(0xa5034277) .word(0x8f81f683) +#if !defined(PULP) .word(0x368de40b) +#endif .word(0x161d3303) .word(0x82cf6de7) .word(0x9a892faf) .word(0xe654d807) .word(0xde5179af) +#if !defined(PULP) .word(0x7a39f38b) +#endif .word(0x35983177) .word(0xbb15929b) .word(0x555af6a7) @@ -22201,7 +24207,9 @@ main: .word(0x8a90c727) .word(0x6059cb33) .word(0x6c4514eb) +#if !defined(PULP) .word(0x01c9b52b) +#endif .word(0x6763791b) .word(0x6ac4efab) .word(0x6fb41587) @@ -22238,11 +24246,15 @@ main: .word(0xd04f38af) .word(0xffe99267) .word(0x35d02e3b) +#if !defined(PULP) .word(0xdaa1eb8b) +#endif .word(0xd30ce733) .word(0x6230fb53) .word(0x5f13a3bb) +#if !defined(PULP) .word(0x5b2539ab) +#endif .word(0x5590c7a3) .word(0xa0d0636b) .word(0x7156ad1b) @@ -22256,7 +24268,9 @@ main: .word(0x51694e53) .word(0x8cfb93f7) .word(0xc19516d3) +#if !defined(PULP) .word(0xc0e769fb) +#endif .word(0x1138d9bb) .word(0x82f19093) .word(0x8f50f2c3) @@ -22300,7 +24314,9 @@ main: .word(0xc74b81bb) .word(0x284d106b) .word(0xdf1bf447) +#if !defined(PULP) .word(0x523b3cab) +#endif .word(0xbc9a1487) .word(0x6d653ad7) .word(0x89b916f7) @@ -22335,7 +24351,9 @@ main: .word(0x12b2f987) .word(0xe773261b) .word(0xd4f6821b) +#if !defined(PULP) .word(0x3981f88b) +#endif .word(0x282f50f7) .word(0x7213d29b) .word(0xef91f757) @@ -22350,13 +24368,17 @@ main: .word(0x9c6fa2e7) .word(0xa8c78427) .word(0x3cde1eb3) +#if !defined(PULP) .word(0x9198e88b) +#endif .word(0x0c69539b) .word(0x74a870bb) .word(0xbc8dae6b) .word(0x227bc3f3) .word(0x8f3189eb) +#if !defined(PULP) .word(0x7f63c6ab) +#endif .word(0xfadba9f7) .word(0x225e1ff7) .word(0xe5cad2a7) @@ -22388,7 +24410,9 @@ main: .word(0x99aec9af) .word(0x62cb8a07) .word(0xb6113deb) +#if !defined(PULP) .word(0x5b98e28b) +#endif .word(0x7e118b77) .word(0x8e03d9e7) .word(0xaf46f007) @@ -22400,9 +24424,13 @@ main: .word(0xc65bcccf) .word(0x5736313b) .word(0x09c6c5b3) +#if !defined(PULP) .word(0xdcb839db) +#endif .word(0xeb1c4a53) +#if !defined(PULP) .word(0x1487758b) +#endif .word(0x4e0f464f) .word(0x142beca3) .word(0xa9e5c7af) @@ -22413,19 +24441,25 @@ main: .word(0x7f6c254f) .word(0xad66e177) .word(0xee3e8a9b) +#if !defined(PULP) .word(0x94d3e98b) +#endif .word(0xa710f41b) .word(0x5cb1e4bb) .word(0xfe134573) .word(0xf7375a6b) +#if !defined(PULP) .word(0x06f3620b) +#endif .word(0xf0b03983) .word(0x6713523b) .word(0x248eaceb) .word(0xec6a283b) .word(0xf9005593) .word(0xd2ca5e2b) +#if !defined(PULP) .word(0x9aa1797b) +#endif .word(0x4e78eec7) .word(0xebe95eeb) .word(0x871e69c7) @@ -22447,7 +24481,9 @@ main: .word(0xa6218b87) .word(0xaecb4caf) .word(0xf7b79cf7) +#if !defined(PULP) .word(0x69b0f20b) +#endif .word(0x7151cc2f) .word(0xc503b2d3) .word(0xf1a1e5af) @@ -22459,7 +24495,9 @@ main: .word(0x8e618443) .word(0x1ecf19f7) .word(0xc2b4cb07) +#if !defined(PULP) .word(0xa16ee37b) +#endif .word(0x4398d06b) .word(0xa4d8f37b) .word(0x70ea6ef7) @@ -22476,7 +24514,9 @@ main: .word(0x1d6aebb3) .word(0x5e90694f) .word(0x526401f7) +#if !defined(PULP) .word(0xf6f13d5b) +#endif .word(0xbefd8743) .word(0x8d9d55f7) .word(0x9173622b) @@ -22530,7 +24570,9 @@ main: .word(0x21b55567) .word(0x53f60b9b) .word(0x2ed4406b) +#if !defined(PULP) .word(0x5a21b05b) +#endif .word(0x621b8e3b) .word(0xa527d6e7) .word(0xdb9c8dbb) @@ -22603,14 +24645,18 @@ main: .word(0x81f6fee7) .word(0x503465f7) .word(0x52dd7b53) +#if !defined(PULP) .word(0xf7bdb55b) +#endif .word(0xea4e832f) .word(0x8f0032af) .word(0xf13129e7) .word(0x28be871b) .word(0x89671ad3) .word(0xd4a27257) +#if !defined(PULP) .word(0xfeaf3a5b) +#endif .word(0x3703cebb) .word(0xedf01267) .word(0xc8053cd7) @@ -22630,7 +24676,9 @@ main: .word(0x26516053) .word(0x940f3323) .word(0x64148ab3) +#if !defined(PULP) .word(0x4ded01fb) +#endif .word(0xb102b5b3) .word(0xefad6a87) .word(0x7d03ecd3) @@ -22642,14 +24690,18 @@ main: .word(0x20d2b667) .word(0x32de9fa7) .word(0xe9822353) +#if !defined(PULP) .word(0xd572b45b) +#endif .word(0xbdbd5a57) .word(0x422b91d7) .word(0x4f2c2bd7) .word(0x34446c53) .word(0x4c4eb9f7) .word(0xb879aceb) +#if !defined(PULP) .word(0x031be58b) +#endif .word(0x78d08e53) .word(0x1916d487) .word(0x530c7527) @@ -22666,9 +24718,13 @@ main: .word(0x349cb2bb) .word(0xbaa5d033) .word(0xa1d3221b) +#if !defined(PULP) .word(0x273e767b) +#endif .word(0x42b69057) +#if !defined(PULP) .word(0x26a9f90b) +#endif .word(0x550d340b) .word(0x7f8701f3) .word(0x32e344bb) @@ -22702,11 +24758,17 @@ main: .word(0x4e99042f) .word(0x8510dbaf) .word(0x2cb564d3) +#if !defined(PULP) .word(0x1a9afb8b) +#endif .word(0xa38e5d87) +#if !defined(PULP) .word(0xf259817b) +#endif .word(0xaf9d799b) +#if !defined(PULP) .word(0xdf12acdb) +#endif .word(0x1518506b) .word(0xf6b15a47) .word(0x95dc082f) @@ -22729,7 +24791,9 @@ main: .word(0xad5ffbfb) .word(0x5672d023) .word(0x5d90c4f3) +#if !defined(PULP) .word(0xc192837b) +#endif .word(0x8b0f63bb) .word(0x6efb4823) .word(0x5755c507) @@ -22751,7 +24815,9 @@ main: .word(0xa5e43db3) .word(0x06222f1b) .word(0xef926aeb) +#if !defined(PULP) .word(0x1c539b7b) +#endif .word(0xbc0341ab) .word(0x355b299b) .word(0x94a6709b) @@ -22817,17 +24883,25 @@ main: .word(0xc7d53943) .word(0xf2bcc29b) .word(0x34c55c2f) +#if !defined(PULP) .word(0x1689e00b) +#endif .word(0xd8c15daf) .word(0x2fa4bf7b) +#if !defined(PULP) .word(0x48702ddb) +#endif .word(0x84b8aaeb) +#if !defined(PULP) .word(0x4187f87b) +#endif .word(0x91c9532b) .word(0x064e9ec7) .word(0x20c0a133) .word(0xebf76b57) +#if !defined(PULP) .word(0x11de628b) +#endif .word(0x787aa32f) .word(0xbd24389b) .word(0xf5ff2d6b) @@ -22835,7 +24909,9 @@ main: .word(0x1c36e977) .word(0x15a57333) .word(0x1e1bd4af) +#if !defined(PULP) .word(0x416e117b) +#endif .word(0x127907a7) .word(0xc1f2b0ab) .word(0x06523443) @@ -22850,7 +24926,9 @@ main: .word(0x97362cc7) .word(0x82bd5f87) .word(0x0832b603) +#if !defined(PULP) .word(0x33fdbf2b) +#endif .word(0xefc3d807) .word(0x0f7b1bcf) .word(0x014341bb) @@ -22860,9 +24938,13 @@ main: .word(0x0dd6e527) .word(0x7b2e53bb) .word(0xf8fe81d3) +#if !defined(PULP) .word(0x8d5db0ab) +#endif .word(0x38153203) +#if !defined(PULP) .word(0xe7a26d0b) +#endif .word(0x5bcebce7) .word(0xff67e2b3) .word(0x7a7a05a7) @@ -22907,7 +24989,9 @@ main: .word(0x0288eda7) .word(0x66d7b8f7) .word(0x59332c53) +#if !defined(PULP) .word(0x194b02fb) +#endif .word(0x723f88bb) .word(0xb160d6e7) .word(0xf0b39893) @@ -22916,7 +25000,9 @@ main: .word(0x27777833) .word(0x6ee3ec57) .word(0xd07c5523) +#if !defined(PULP) .word(0x0930ed8b) +#endif .word(0x6f4903d7) .word(0xb2b6129b) .word(0x98884627) @@ -22926,7 +25012,9 @@ main: .word(0xda02012f) .word(0x79365a27) .word(0xc33116d7) +#if !defined(PULP) .word(0xde29355b) +#endif .word(0xa33b5887) .word(0x39c7da27) .word(0xaa76d757) @@ -22941,7 +25029,9 @@ main: .word(0x4771fa03) .word(0xfcb40887) .word(0x1cc19167) +#if !defined(PULP) .word(0xcb247e8b) +#endif .word(0x086d8ceb) .word(0xd58a5a33) .word(0x8b31eeaf) @@ -22952,8 +25042,12 @@ main: .word(0xb5f39293) .word(0x0b16151b) .word(0xa6118bc7) +#if !defined(PULP) .word(0x309d8dfb) +#endif +#if !defined(PULP) .word(0xc4d0e28b) +#endif .word(0x2766004f) .word(0x4536d233) .word(0x476cf4e7) @@ -23032,7 +25126,9 @@ main: .word(0x2decb8f7) .word(0xd9b06deb) .word(0x653750d3) +#if !defined(PULP) .word(0x6ac3e27b) +#endif .word(0x490536f7) .word(0x80c32d7b) .word(0xc511f753) @@ -23066,12 +25162,16 @@ main: .word(0x76fbb84b) .word(0xf7ee3bf7) .word(0x5cef3f7b) +#if !defined(PULP) .word(0x59c274fb) +#endif .word(0x09d0f6e7) .word(0x97a55957) .word(0x8cba276b) .word(0xe189152f) +#if !defined(PULP) .word(0x498e0c7b) +#endif .word(0x2fe6444b) .word(0x20fbf1e7) .word(0xa5cdb62b) @@ -23100,7 +25200,9 @@ main: .word(0x06463f1b) .word(0xb09b059b) .word(0x4d854baf) +#if !defined(PULP) .word(0x671e660b) +#endif .word(0xae024f9b) .word(0xec51d9ab) .word(0x04ffdbf7) @@ -23214,14 +25316,18 @@ main: .word(0xa1bbd113) .word(0x0cae4daf) .word(0xaf057ccb) +#if !defined(PULP) .word(0x581e6d7b) +#endif .word(0x3fb841ab) .word(0x876c01a7) .word(0x98fc5e27) .word(0x6a19f427) .word(0x79828777) .word(0xaa0d8b7b) +#if !defined(PULP) .word(0x636ded7b) +#endif .word(0xdf032b77) .word(0xc0305eeb) .word(0x1942c62f) @@ -23230,7 +25336,9 @@ main: .word(0x1a40cc57) .word(0xf60d60a3) .word(0x14f8a167) +#if !defined(PULP) .word(0x1a56607b) +#endif .word(0x7f6053af) .word(0xcc9b48bb) .word(0xf489307b) @@ -23245,7 +25353,9 @@ main: .word(0x7bd47c2f) .word(0x36024a07) .word(0x2a29482b) +#if !defined(PULP) .word(0xaf4f7b0b) +#endif .word(0x2bc63067) .word(0xfe07e0cf) .word(0x4c14933b) @@ -23271,14 +25381,18 @@ main: .word(0x4731d6eb) .word(0x14270e73) .word(0xe7e0fe43) +#if !defined(PULP) .word(0xafeded0b) +#endif .word(0x6effdf6b) .word(0x1f1480f7) .word(0x130691eb) .word(0xb7195093) .word(0x91ef2df7) .word(0x3566ed2f) +#if !defined(PULP) .word(0xe40b265b) +#endif .word(0x97d4c22f) .word(0x7a5c7367) .word(0x2ec58d07) @@ -23319,12 +25433,16 @@ main: .word(0x70bf5b23) .word(0x429636fb) .word(0x448be223) +#if !defined(PULP) .word(0x1df6eafb) +#endif .word(0xbec0b4d3) .word(0xd125cc9b) .word(0x0ce3b32f) .word(0x1a89d3a7) +#if !defined(PULP) .word(0x4e43608b) +#endif .word(0x6dd25467) .word(0x96e9436b) .word(0x17ae5d57) @@ -23333,7 +25451,9 @@ main: .word(0x15c9a3e7) .word(0xf39f7fbb) .word(0x66d146bb) +#if !defined(PULP) .word(0x2a303e2b) +#endif .word(0xd9ed5427) .word(0x3050cee7) .word(0x4ffadbc7) @@ -23348,16 +25468,22 @@ main: .word(0xaa93b5e7) .word(0x4c2713af) .word(0xd709c33b) +#if !defined(PULP) .word(0x7513f30b) +#endif .word(0x0ed2d3b3) .word(0x1b727767) .word(0x95a55327) +#if !defined(PULP) .word(0x22ef707b) +#endif .word(0x1db2e46b) .word(0x9e175657) .word(0x733ffeb3) .word(0x361a1887) +#if !defined(PULP) .word(0x9b98e58b) +#endif .word(0xbe80d6cb) .word(0xa8262d2f) .word(0xb72f85d7) @@ -23458,23 +25584,35 @@ main: .word(0x1a00b733) .word(0x684ed49b) .word(0x821feaaf) +#if !defined(PULP) .word(0xa360e17b) +#endif .word(0xbd4a1c53) .word(0xf63ee7a3) .word(0x3ca98aeb) .word(0x4915733b) +#if !defined(PULP) .word(0x35d217fb) +#endif .word(0xb2c5ea1b) .word(0x26005a4b) .word(0x0e5ab5cb) .word(0x6c6cf3b3) .word(0x26cb5193) .word(0x86cd92e7) +#if !defined(PULP) .word(0x83e4f70b) +#endif +#if !defined(PULP) .word(0x22c4707b) +#endif +#if !defined(PULP) .word(0x88f3768b) +#endif .word(0xe56a73d3) +#if !defined(PULP) .word(0xb8c537fb) +#endif .word(0x8848d823) .word(0x11a14987) .word(0x1aef1507) @@ -23499,7 +25637,9 @@ main: .word(0x0bc2d1eb) .word(0x3b760a33) .word(0xc8fdda77) +#if !defined(PULP) .word(0x60e6797b) +#endif .word(0x1c76f967) .word(0x3c3feea3) .word(0xfe325d07) @@ -23526,7 +25666,9 @@ main: .word(0xcefcfe1b) .word(0xd7d52e77) .word(0xbc5bb7d7) +#if !defined(PULP) .word(0xa1937e7b) +#endif .word(0x88ffcf6b) .word(0x46da314f) .word(0x6e7e7c23) @@ -23542,7 +25684,9 @@ main: .word(0xc9776107) .word(0x8febfb47) .word(0x87d1e6eb) +#if !defined(PULP) .word(0x9a52608b) +#endif .word(0xd1145aa3) .word(0x7e8de487) .word(0x12823d03) @@ -23574,7 +25718,9 @@ main: .word(0x84766b77) .word(0xeec65193) .word(0x61732f1b) +#if !defined(PULP) .word(0x097a6f0b) +#endif .word(0x6fbb08b3) .word(0x5e401833) .word(0xaea8df87) @@ -23600,7 +25746,9 @@ main: .word(0x81da6b3b) .word(0x77885293) .word(0x2f55146b) +#if !defined(PULP) .word(0x702d3e2b) +#endif .word(0xa04d3eaf) .word(0xe208fb27) .word(0xaca0f6d3) @@ -23618,7 +25766,9 @@ main: .word(0x5265766b) .word(0x27763b4b) .word(0xdd326457) +#if !defined(PULP) .word(0x485d668b) +#endif .word(0xb6cbb6a3) .word(0xf0f98087) .word(0x06c638c7) @@ -23644,7 +25794,9 @@ main: .word(0x62521d3b) .word(0xb434c187) .word(0xf0f14ef7) +#if !defined(PULP) .word(0x659a087b) +#endif .word(0x276b8d73) .word(0x2eb0c3c7) .word(0x843de8a7) @@ -23668,7 +25820,9 @@ main: .word(0xdd56dd27) .word(0xa8f5269b) .word(0xbf23441b) +#if !defined(PULP) .word(0x7985b1db) +#endif .word(0xfa55163b) .word(0x8c0d91bb) .word(0x211fae6b) @@ -23716,7 +25870,9 @@ main: .word(0xa8d18a27) .word(0xa2bf3dfb) .word(0x738d8fd7) +#if !defined(PULP) .word(0x9e8cf18b) +#endif .word(0x36e1fec3) .word(0x4c7cc8e7) .word(0xb74c2047) @@ -23726,7 +25882,9 @@ main: .word(0xec64db23) .word(0xfff6933b) .word(0x9f616027) +#if !defined(PULP) .word(0x4b84b4db) +#endif .word(0xbbea3667) .word(0x847eefe7) .word(0x783ee6a7) @@ -23752,16 +25910,22 @@ main: .word(0x6b867dd3) .word(0x0cf89f77) .word(0x22eec277) +#if !defined(PULP) .word(0x914e8d7b) +#endif .word(0x84fd943b) .word(0x1d6dff27) .word(0x76f5959b) +#if !defined(PULP) .word(0xcef3aadb) +#endif .word(0x252840f7) .word(0xc12d6bb3) .word(0x2380f077) .word(0xcf31c54b) +#if !defined(PULP) .word(0x1d6cee0b) +#endif .word(0x3cdc2e77) .word(0x9ff5be23) .word(0x5dbdd507) @@ -23802,7 +25966,9 @@ main: .word(0x5cce3be7) .word(0x978348a7) .word(0x59aa7fa3) +#if !defined(PULP) .word(0x18b79ffb) +#endif .word(0x8768b023) .word(0xf8166153) .word(0x94777403) @@ -23813,7 +25979,9 @@ main: .word(0x20b9bd03) .word(0xbbfacdd7) .word(0x7b1d277b) +#if !defined(PULP) .word(0x4cadb9db) +#endif .word(0x08d34d33) .word(0x567fcd1b) .word(0x2050d1bb) @@ -23822,7 +25990,9 @@ main: .word(0x0844003b) .word(0x7699ec33) .word(0x6b84e477) +#if !defined(PULP) .word(0xff712bdb) +#endif .word(0x35773123) .word(0x87b38a33) .word(0x5f362333) @@ -23848,7 +26018,9 @@ main: .word(0x519cb26b) .word(0x324ff2af) .word(0xbb3ff403) +#if !defined(PULP) .word(0xfd03708b) +#endif .word(0x6cfba277) .word(0xbca2506b) .word(0xee8cf66b) @@ -23898,7 +26070,9 @@ main: .word(0x20afc4f7) .word(0x12d2ec67) .word(0x7a684657) +#if !defined(PULP) .word(0x35626b7b) +#endif .word(0x65898133) .word(0x9bd1baeb) .word(0x36c08557) @@ -23946,7 +26120,9 @@ main: .word(0x7c872077) .word(0xce8ba2d3) .word(0xd41af61b) +#if !defined(PULP) .word(0x562b31db) +#endif .word(0xe602f7ab) .word(0x6ea62ecb) .word(0xa702933b) @@ -23963,7 +26139,9 @@ main: .word(0xc3e7032f) .word(0x72621393) .word(0xf62a7fd7) +#if !defined(PULP) .word(0x027b690b) +#endif .word(0x8cd5aaf7) .word(0x3c0bb233) .word(0xd504f5b3) @@ -23994,11 +26172,15 @@ main: .word(0xc00851e7) .word(0xe4d36377) .word(0x6b4735bb) +#if !defined(PULP) .word(0x0bb8f90b) +#endif .word(0x592945d3) .word(0x299f2733) .word(0x3df2e86b) +#if !defined(PULP) .word(0x0b00f17b) +#endif .word(0xe87af877) .word(0xabb4942f) .word(0x6cf9d253) @@ -24009,7 +26191,9 @@ main: .word(0x9de197bb) .word(0x21b8ab1b) .word(0x3f8ab243) +#if !defined(PULP) .word(0x3a3e7ffb) +#endif .word(0x244dc5a3) .word(0xf6674bc7) .word(0x99109c93) @@ -24020,7 +26204,9 @@ main: .word(0x7fd27af7) .word(0x566cd3e7) .word(0x31a231eb) +#if !defined(PULP) .word(0x1470f8fb) +#endif .word(0xb5e5fb83) .word(0xdff64d87) .word(0xe556d42f) @@ -24049,7 +26235,9 @@ main: .word(0xf307e357) .word(0x9ffd9a43) .word(0xa54c45d7) +#if !defined(PULP) .word(0xf36db4db) +#endif .word(0xd798fe47) .word(0x90fd82a7) .word(0xf8e90153) @@ -24060,7 +26248,9 @@ main: .word(0x6df831ab) .word(0xfc3194bb) .word(0x97e28a7b) +#if !defined(PULP) .word(0x6f61a05b) +#endif .word(0xdda4607b) .word(0x3568906b) .word(0xc129e5d3) @@ -24072,7 +26262,9 @@ main: .word(0xe47e322f) .word(0x7c68ebeb) .word(0x41a6e79b) +#if !defined(PULP) .word(0x7cc4f28b) +#endif .word(0x40eec927) .word(0xe78937c3) .word(0x789df6e7) @@ -24086,7 +26278,9 @@ main: .word(0xeb77cfd7) .word(0x9a9f5a07) .word(0x5083dda3) +#if !defined(PULP) .word(0x9984e57b) +#endif .word(0x5d8cf4ab) .word(0x1e352757) .word(0xbee73e43) @@ -24146,7 +26340,9 @@ main: .word(0x5dddc2e7) .word(0x6e5f24cf) .word(0x33fe7203) +#if !defined(PULP) .word(0xf226e08b) +#endif .word(0xe2b08c9b) .word(0x26a8c32f) .word(0x3ac8c6a3) @@ -24158,7 +26354,9 @@ main: .word(0xa9e516af) .word(0xad79e8fb) .word(0x141feaaf) +#if !defined(PULP) .word(0x46776e7b) +#endif .word(0x0cddbc1b) .word(0x459d3c83) .word(0xf18b1a3b) @@ -24167,9 +26365,15 @@ main: .word(0xb25b86b3) .word(0xa1ea69d3) .word(0xbf8ab0bb) +#if !defined(PULP) .word(0x435f2ddb) +#endif +#if !defined(PULP) .word(0x3c1286fb) +#endif +#if !defined(PULP) .word(0x2f6c7b7b) +#endif .word(0xed1b6abb) .word(0x87f5ff4f) .word(0x2fc676a3) @@ -24192,21 +26396,27 @@ main: .word(0xab4a7353) .word(0x867a8157) .word(0xef6f09d3) +#if !defined(PULP) .word(0x8f42e10b) +#endif .word(0xa9a79c87) .word(0xf02666ab) .word(0xf62373d7) .word(0x946e8b87) .word(0x0c646a1b) .word(0x4609c7c3) +#if !defined(PULP) .word(0x8519f20b) +#endif .word(0x3b6d4923) .word(0xf855edab) .word(0xd1a57023) .word(0x18022767) .word(0x24692b7b) .word(0x086b702b) +#if !defined(PULP) .word(0x926e770b) +#endif .word(0x9fa8eeab) .word(0x27739357) .word(0x4132ca1b) @@ -24219,19 +26429,25 @@ main: .word(0x3b0fc6f3) .word(0x3ad1766b) .word(0xfe3dde07) +#if !defined(PULP) .word(0x4590fc8b) +#endif .word(0x65426c87) .word(0x1cb21d33) .word(0xe4ed14e7) .word(0x8ca5ac7b) .word(0x29d4e227) .word(0x6b2b7fd3) +#if !defined(PULP) .word(0xa8c6857b) +#endif .word(0x29d5ff23) .word(0xac10cbd3) .word(0x3940501b) .word(0x8fdfd867) +#if !defined(PULP) .word(0xe9f5b0db) +#endif .word(0x7c810e6b) .word(0x6691d693) .word(0xa5b85367) @@ -24251,8 +26467,12 @@ main: .word(0xf3ea65fb) .word(0x5bc5857b) .word(0x07e1d0a7) +#if !defined(PULP) .word(0x7c94f30b) +#endif +#if !defined(PULP) .word(0xc2dae88b) +#endif .word(0x39864af3) .word(0xa28ea99b) .word(0xe34f57b3) @@ -24261,9 +26481,13 @@ main: .word(0x6e40f803) .word(0x6c347e7b) .word(0xe8814127) +#if !defined(PULP) .word(0xa17d8ffb) +#endif .word(0x46864607) +#if !defined(PULP) .word(0x043e7c0b) +#endif .word(0x3642563b) .word(0x28f7d4d3) .word(0xf46bb19b) @@ -24277,7 +26501,9 @@ main: .word(0xa23800a7) .word(0x7f88e127) .word(0x870668bb) +#if !defined(PULP) .word(0xd3f0ed0b) +#endif .word(0x3e5c779b) .word(0x91e3426b) .word(0x0bc3dd9b) @@ -24287,7 +26513,9 @@ main: .word(0xb6919f4f) .word(0x191e76a3) .word(0xffc9b69b) +#if !defined(PULP) .word(0xd090265b) +#endif .word(0x068fbfc3) .word(0x6b18b8fb) .word(0x33a6721b) @@ -24343,7 +26571,9 @@ main: .word(0xf4f93653) .word(0xaad0689b) .word(0xc5e1e12f) +#if !defined(PULP) .word(0x91cf03fb) +#endif .word(0x0f25adc3) .word(0xaa28c3e7) .word(0xd1b7177b) @@ -24388,7 +26618,9 @@ main: .word(0xffa1f443) .word(0x5185076b) .word(0x83978053) +#if !defined(PULP) .word(0xd3282edb) +#endif .word(0x48f1fb3b) .word(0x5a4d2c7b) .word(0xb2073aab) @@ -24417,7 +26649,9 @@ main: .word(0xb3ede187) .word(0xb86b43ab) .word(0x7ed11bd3) +#if !defined(PULP) .word(0x042a987b) +#endif .word(0xc8b099fb) .word(0x7f6ca6c7) .word(0xbd34713b) @@ -24446,7 +26680,9 @@ main: .word(0x32365723) .word(0x6b157a2b) .word(0x94dde5fb) +#if !defined(PULP) .word(0xcf36a7db) +#endif .word(0x8a651513) .word(0x6894702b) .word(0x67b3734f) @@ -24482,7 +26718,9 @@ main: .word(0x9533269b) .word(0x31b4e3f7) .word(0xc0478b73) +#if !defined(PULP) .word(0x50739c7b) +#endif .word(0x2b2c3cb3) .word(0xdbf40b73) .word(0x2ca4d193) @@ -24493,7 +26731,9 @@ main: .word(0x7d2324f7) .word(0x8c87449b) .word(0x8e766feb) +#if !defined(PULP) .word(0x392db82b) +#endif .word(0x32e91b6b) .word(0x0c8fed33) .word(0x70f6aa9b) @@ -24547,7 +26787,9 @@ main: .word(0xd0419e3b) .word(0x92cd231b) .word(0xbf87077b) +#if !defined(PULP) .word(0x0d1c8d7b) +#endif .word(0xf1152267) .word(0x364ed357) .word(0x092d2afb) @@ -24595,7 +26837,9 @@ main: .word(0xd13149eb) .word(0x94157287) .word(0x60dd2fbb) +#if !defined(PULP) .word(0x380812fb) +#endif .word(0x6dcf7c6b) .word(0x7aa98957) .word(0xa42da3d7) @@ -24638,10 +26882,14 @@ main: .word(0xf01111f7) .word(0xf1837327) .word(0xed3d4087) +#if !defined(PULP) .word(0x0dfc6e7b) +#endif .word(0x05c8d867) .word(0x1dd08233) +#if !defined(PULP) .word(0x0319fb7b) +#endif .word(0x2efe3a53) .word(0x8d14632b) .word(0x81ac102f) @@ -24655,7 +26903,9 @@ main: .word(0x572ca24b) .word(0x3240872f) .word(0x2dbc6627) +#if !defined(PULP) .word(0x3508f27b) +#endif .word(0x65c1852f) .word(0xf6087e43) .word(0x7ea7422b) @@ -24697,7 +26947,9 @@ main: .word(0x5e46a69b) .word(0x60989d87) .word(0x96b5e267) +#if !defined(PULP) .word(0x25218a7b) +#endif .word(0x6c42e3e7) .word(0xedf63ae7) .word(0x2f035f3b) @@ -24796,10 +27048,14 @@ main: .word(0x9f68ecc7) .word(0x0a48672f) .word(0x3e9cfd2f) +#if !defined(PULP) .word(0xd4227f8b) +#endif .word(0xb617c1d7) .word(0xbbec7b53) +#if !defined(PULP) .word(0x411eb32b) +#endif .word(0x416a7ef7) .word(0x0f572f4b) .word(0x81f955bb) @@ -24824,7 +27080,9 @@ main: .word(0xafaf83a7) .word(0x25575687) .word(0x402db023) +#if !defined(PULP) .word(0x2daff9fb) +#endif .word(0x267dc7f3) .word(0x1a15c777) .word(0x00db48bb) @@ -24857,7 +27115,9 @@ main: .word(0x6f42364b) .word(0xd22c16eb) .word(0x65d333eb) +#if !defined(PULP) .word(0x60cef3fb) +#endif .word(0x8020fe83) .word(0x1ec76de7) .word(0xa82de32f) @@ -24868,7 +27128,9 @@ main: .word(0xe49e89bb) .word(0x59ceeca3) .word(0x67652c4f) +#if !defined(PULP) .word(0x799aa35b) +#endif .word(0x2117e8f7) .word(0xa497dd2f) .word(0x1cf602f7) @@ -24882,12 +27144,16 @@ main: .word(0xfb050657) .word(0xfc50c42b) .word(0xa65b6dbb) +#if !defined(PULP) .word(0xe3ff2d5b) +#endif .word(0xaaa0753b) .word(0x521abf67) .word(0x5c7ec057) .word(0x5298398b) +#if !defined(PULP) .word(0x49e6ac5b) +#endif .word(0xd2826533) .word(0x4ef9edc7) .word(0xf6a02ad3) @@ -24972,7 +27238,9 @@ main: .word(0x2b4f0a77) .word(0x38e5d413) .word(0xb776d5d7) +#if !defined(PULP) .word(0x22b06afb) +#endif .word(0xfe8c657b) .word(0xd253dc3b) .word(0xa6eb4aa3) @@ -24983,7 +27251,9 @@ main: .word(0x36134a2b) .word(0xa23f4a3b) .word(0xd6a6f41b) +#if !defined(PULP) .word(0x15e7e4fb) +#endif .word(0x11e113bb) .word(0x271d9b43) .word(0xd0a949af) @@ -24997,11 +27267,15 @@ main: .word(0x76d0a6cb) .word(0x3e2ef653) .word(0xd0f93557) +#if !defined(PULP) .word(0xfddaf68b) +#endif .word(0x4527259b) .word(0x579f42a3) .word(0xf1120173) +#if !defined(PULP) .word(0xfe3e46ab) +#endif .word(0xdf221f47) .word(0x35e46877) .word(0xb5e2fc2f) @@ -25015,7 +27289,9 @@ main: .word(0x172f4b43) .word(0x0f95c1f7) .word(0x2087a667) +#if !defined(PULP) .word(0x6a44a4db) +#endif .word(0xfac60ff3) .word(0xb8a1dd1b) .word(0x5e4a0857) @@ -25062,11 +27338,15 @@ main: .word(0xed7f75e7) .word(0xfe3deb27) .word(0x492cb6af) +#if !defined(PULP) .word(0x48928d7b) +#endif .word(0xcec5bf47) .word(0xc4280ba7) .word(0xbe5f2aeb) +#if !defined(PULP) .word(0xc676b35b) +#endif .word(0xbd808bd3) .word(0x7621184b) .word(0xfec1934f) @@ -25143,7 +27423,9 @@ main: .word(0x34d4642f) .word(0xfcea48a7) .word(0x3ded9287) +#if !defined(PULP) .word(0x5afbff0b) +#endif .word(0x623be3af) .word(0x020c8627) .word(0xffe4c7af) @@ -25154,7 +27436,9 @@ main: .word(0xbf87368b) .word(0x5a77efaf) .word(0x30a6fff7) +#if !defined(PULP) .word(0x71b3305b) +#endif .word(0x3c3617b3) .word(0xde2dc4c7) .word(0x75b72af7) @@ -25170,13 +27454,17 @@ main: .word(0xe77ffb43) .word(0xf2ad1e3b) .word(0x03a89277) +#if !defined(PULP) .word(0xa27a69fb) +#endif .word(0x97135a87) .word(0x36bbf0d3) .word(0x992068eb) .word(0x2566ccaf) .word(0x18eea21b) +#if !defined(PULP) .word(0x0ccd807b) +#endif .word(0x2730d8ab) .word(0xee4127af) .word(0x9ee21a57) @@ -25192,7 +27480,9 @@ main: .word(0x4b6f2cb3) .word(0x34e1293b) .word(0x85f15877) +#if !defined(PULP) .word(0x317e1f7b) +#endif .word(0x257fd633) .word(0x2718f9eb) .word(0xed03abe7) @@ -25202,7 +27492,9 @@ main: .word(0xbe6dc6f3) .word(0x33478f7b) .word(0xf7fb6cbb) +#if !defined(PULP) .word(0x8181e20b) +#endif .word(0xb3cff92f) .word(0x98f89553) .word(0x88a78053) @@ -25280,7 +27572,9 @@ main: .word(0x751af433) .word(0xc8f961ab) .word(0xa598732f) +#if !defined(PULP) .word(0x826b650b) +#endif .word(0xe4f1d23b) .word(0x20507df7) .word(0x97d78ebb) @@ -25295,7 +27589,9 @@ main: .word(0x917ff0f7) .word(0xfd072e67) .word(0xf3ef6023) +#if !defined(PULP) .word(0x061ee00b) +#endif .word(0x945d5333) .word(0x1faaeab3) .word(0xeeb009a7) @@ -25304,11 +27600,15 @@ main: .word(0xb0eed4f7) .word(0xa5605df7) .word(0xa7db579b) +#if !defined(PULP) .word(0xae636a0b) +#endif .word(0xb937dfaf) .word(0x333cea9b) .word(0x06d1f7b3) +#if !defined(PULP) .word(0x2d4be20b) +#endif .word(0xb91cf03b) .word(0x62947467) .word(0x81e49ceb) @@ -25334,7 +27634,9 @@ main: .word(0x45dfeabb) .word(0xc9b1d1a3) .word(0x33ab8f2f) +#if !defined(PULP) .word(0x5283eefb) +#endif .word(0x4bc84bf3) .word(0xc4d5abfb) .word(0xa614dd2f) @@ -25366,7 +27668,9 @@ main: .word(0xae1d7baf) .word(0xbb589757) .word(0x10a35d77) +#if !defined(PULP) .word(0x6461e60b) +#endif .word(0x3131eae7) .word(0xd195c79b) .word(0xd847bdd3) @@ -25380,7 +27684,9 @@ main: .word(0xc3c83857) .word(0x44755e2f) .word(0xccffeb2b) +#if !defined(PULP) .word(0x45be61fb) +#endif .word(0x76f75d43) .word(0x1619a257) .word(0x509e311b) @@ -25408,7 +27714,9 @@ main: .word(0x33ef34eb) .word(0x2ef0d157) .word(0xa0053b0b) +#if !defined(PULP) .word(0xd9e2760b) +#endif .word(0xf8731deb) .word(0xeb79a3f7) .word(0xc02688bb) @@ -25424,7 +27732,9 @@ main: .word(0x27695be7) .word(0xc99ced3b) .word(0xe8329867) +#if !defined(PULP) .word(0x81887a7b) +#endif .word(0xf8afe3e7) .word(0x0b0e556b) .word(0x79c285b3) @@ -25527,9 +27837,13 @@ main: .word(0x61de0173) .word(0xf39edf13) .word(0xe4d63feb) +#if !defined(PULP) .word(0xee33af5b) +#endif .word(0xbfe8a9fb) +#if !defined(PULP) .word(0x31a5bdab) +#endif .word(0xb7ec676b) .word(0xd04ff3d7) .word(0x1affa8b3) @@ -25574,12 +27888,16 @@ main: .word(0xaf26e8cb) .word(0x779f74ab) .word(0xdd72947b) +#if !defined(PULP) .word(0x138e7a0b) +#endif .word(0x7ccf26b3) .word(0x49878daf) .word(0x59c6f6d3) .word(0x09b6d52b) +#if !defined(PULP) .word(0x54732a5b) +#endif .word(0x27f0faa7) .word(0xd487ea27) .word(0xbfc1d2af) @@ -25598,7 +27916,9 @@ main: .word(0x6762f023) .word(0x247b9427) .word(0x0b28b28b) +#if !defined(PULP) .word(0x817170fb) +#endif .word(0x8dff79e7) .word(0x6c3677ab) .word(0xde9f2253) @@ -25626,7 +27946,9 @@ main: .word(0x7e424ebb) .word(0x61de2667) .word(0x5d0cf2eb) +#if !defined(PULP) .word(0xd077fa0b) +#endif .word(0x77874c1b) .word(0xd75a314f) .word(0x0e4a76cb) @@ -25653,7 +27975,9 @@ main: .word(0x308fa2eb) .word(0x1087693b) .word(0x31483b9b) +#if !defined(PULP) .word(0xdeabe80b) +#endif .word(0x89297fa3) .word(0xe0a448e7) .word(0x2748d8af) @@ -25756,7 +28080,9 @@ main: .word(0x0157b867) .word(0x025fc61b) .word(0x9ac628eb) +#if !defined(PULP) .word(0xc988688b) +#endif .word(0x7a235a07) .word(0xb773368b) .word(0x82a99eaf) @@ -25780,8 +28106,12 @@ main: .word(0x0f804e87) .word(0xa112e1eb) .word(0x5f1fe3af) +#if !defined(PULP) .word(0x568fa25b) +#endif +#if !defined(PULP) .word(0x1f92717b) +#endif .word(0x6f34aa4b) .word(0x884a1f67) .word(0x78e34ca3) @@ -25803,7 +28133,9 @@ main: .word(0x8a613caf) .word(0x72b7336b) .word(0x08954e67) +#if !defined(PULP) .word(0xc0d31dfb) +#endif .word(0x27faee87) .word(0xdd7ea86b) .word(0xc61c26eb) @@ -25821,10 +28153,14 @@ main: .word(0x72eb4a33) .word(0x472aacfb) .word(0xfc68bd23) +#if !defined(PULP) .word(0xe3e36c8b) +#endif .word(0x0e56b12b) .word(0xc25d7c27) +#if !defined(PULP) .word(0x2769e98b) +#endif .word(0x281a4577) .word(0x17d272af) .word(0xfedaedc7) @@ -25832,9 +28168,13 @@ main: .word(0xca5a85f7) .word(0x2c9fd4ab) .word(0xe0bd9593) +#if !defined(PULP) .word(0x0b373c2b) +#endif .word(0x1b69fa3b) +#if !defined(PULP) .word(0xe125ef0b) +#endif .word(0xd03c4a87) .word(0x5fb0b6eb) .word(0xe6f69dbb) @@ -25869,7 +28209,9 @@ main: .word(0xf2401677) .word(0x2216516b) .word(0xe3affd77) +#if !defined(PULP) .word(0x44b924db) +#endif .word(0x76193023) .word(0x4bdd99bb) .word(0x505864ab) @@ -25900,10 +28242,14 @@ main: .word(0x77de51d3) .word(0x188bb1af) .word(0x497f392b) +#if !defined(PULP) .word(0xb83bfa8b) +#endif .word(0xae03faf7) .word(0xed3839fb) +#if !defined(PULP) .word(0x354773fb) +#endif .word(0xdee6aafb) .word(0x96d59d13) .word(0x212212e7) @@ -25939,7 +28285,9 @@ main: .word(0x071bc94f) .word(0x657e39d3) .word(0x306e8627) +#if !defined(PULP) .word(0x50fda8db) +#endif .word(0x9402eda7) .word(0xf46fc1d3) .word(0xc4905693) @@ -25970,7 +28318,9 @@ main: .word(0x9c7a1887) .word(0x6bbc4c2f) .word(0x161e5943) +#if !defined(PULP) .word(0xaa28758b) +#endif .word(0x19daf2ab) .word(0x07cdae1b) .word(0x6e587fd7) @@ -26014,10 +28364,14 @@ main: .word(0xa7ec7e87) .word(0xbc6ebd3b) .word(0x0290086b) +#if !defined(PULP) .word(0xd6d231db) +#endif .word(0x7f02e4af) .word(0xe8512853) +#if !defined(PULP) .word(0x5658ac5b) +#endif .word(0xb72cd4a7) .word(0x5572bb6b) .word(0xba0b6507) @@ -26054,7 +28408,9 @@ main: .word(0x619264af) .word(0x569678ab) .word(0xda3d4f53) +#if !defined(PULP) .word(0x0242eefb) +#endif .word(0x90b2c2af) .word(0xfd12796b) .word(0x60eb6cbb) @@ -26071,7 +28427,9 @@ main: .word(0xf78447f7) .word(0xe3dfe1f7) .word(0x9232a9e7) +#if !defined(PULP) .word(0x400d6d7b) +#endif .word(0x1fbd63eb) .word(0xdfa8d12b) .word(0x927e05d7) @@ -26099,7 +28457,9 @@ main: .word(0xf0ef82d7) .word(0xe8bd8fd3) .word(0xa5008caf) +#if !defined(PULP) .word(0x13a2788b) +#endif .word(0xce1b9e93) .word(0xf30cdad7) .word(0xae3ea8fb) @@ -26120,7 +28480,9 @@ main: .word(0x569801cb) .word(0x7117f06b) .word(0x581875f7) +#if !defined(PULP) .word(0x64f9b55b) +#endif .word(0xc03000af) .word(0x7e5a52e7) .word(0x885bad1b) @@ -26131,7 +28493,9 @@ main: .word(0x58f068f7) .word(0xb7539e4b) .word(0x1a5e5067) +#if !defined(PULP) .word(0xc278225b) +#endif .word(0x6e59ff6b) .word(0x58497bb3) .word(0xc3b6b3e7) @@ -26149,7 +28513,9 @@ main: .word(0x372fb2af) .word(0x3d6c2557) .word(0x1d45bf3b) +#if !defined(PULP) .word(0x802689fb) +#endif .word(0xf88061e7) .word(0x2553869b) .word(0x84f8dcbb) @@ -26167,14 +28533,20 @@ main: .word(0x5c2f1153) .word(0x64876bab) .word(0x0a21d8f7) +#if !defined(PULP) .word(0xd8e8f6fb) +#endif +#if !defined(PULP) .word(0x42b2f68b) +#endif .word(0x6c4e9553) .word(0x92daf1e7) .word(0x13765713) .word(0xacc0cdb3) .word(0xbe6239a3) +#if !defined(PULP) .word(0x756c3eab) +#endif .word(0x2f6983f7) .word(0x082f136b) .word(0x36a1d257) @@ -26196,7 +28568,9 @@ main: .word(0xc9991ae7) .word(0xb2b60473) .word(0xa91b5613) +#if !defined(PULP) .word(0x516a25db) +#endif .word(0x67053dc7) .word(0x2aa26653) .word(0xe69c8873) @@ -26225,7 +28599,9 @@ main: .word(0x6d48e3a3) .word(0xe764b2cb) .word(0x61435ba3) +#if !defined(PULP) .word(0x7f3c3d5b) +#endif .word(0x0a4efe03) .word(0x10baf4af) .word(0x1b7c4e1b) @@ -26250,7 +28626,9 @@ main: .word(0x837b94f7) .word(0xb807c9a7) .word(0xc96defeb) +#if !defined(PULP) .word(0x6527f08b) +#endif .word(0x3869bd53) .word(0xe802226b) .word(0xa7688ef3) @@ -26290,7 +28668,9 @@ main: .word(0x075fcb1b) .word(0x0906bd33) .word(0x71f3a6f7) +#if !defined(PULP) .word(0x414b0bfb) +#endif .word(0xd205aaeb) .word(0x07a3656b) .word(0x6f4dd123) @@ -26307,13 +28687,17 @@ main: .word(0xd396ab2f) .word(0xd668f2b3) .word(0xa61b3b43) +#if !defined(PULP) .word(0x317ce88b) +#endif .word(0x6ee1fceb) .word(0x5c299c77) .word(0x74f26b2f) .word(0xf80c70af) .word(0x3a2976d3) +#if !defined(PULP) .word(0xf41fe38b) +#endif .word(0x3b4251bb) .word(0x8d4669a3) .word(0x64bb56bb) @@ -26336,7 +28720,9 @@ main: .word(0x09227b2f) .word(0x5a5b4aaf) .word(0x1b9b452f) +#if !defined(PULP) .word(0x603991fb) +#endif .word(0x0c4fda2f) .word(0xae8e4087) .word(0xd899791b) @@ -26364,7 +28750,9 @@ main: .word(0x851ef8d7) .word(0xcc1e54d7) .word(0x8d9792af) +#if !defined(PULP) .word(0x4e732cdb) +#endif .word(0x0e2f26d3) .word(0x184353ab) .word(0xe00d2cbb) @@ -26418,7 +28806,9 @@ main: .word(0xcd69dd23) .word(0x24aac1f7) .word(0xd5cfcd23) +#if !defined(PULP) .word(0x479af98b) +#endif .word(0x1baee367) .word(0x104e9827) .word(0x067ce753) @@ -26444,7 +28834,9 @@ main: .word(0x78beea67) .word(0x2e3c496b) .word(0x3bbe5853) +#if !defined(PULP) .word(0x476374fb) +#endif .word(0x1c78ff77) .word(0x9e635dd7) .word(0xdfe4abc3) @@ -26469,7 +28861,9 @@ main: .word(0x3bc3f387) .word(0x866b5d77) .word(0xe81e4f73) +#if !defined(PULP) .word(0x4994e88b) +#endif .word(0x7e2adb4b) .word(0x690b399b) .word(0x9c265423) @@ -26497,14 +28891,18 @@ main: .word(0x1d546b2b) .word(0x740de007) .word(0xe6e3e267) +#if !defined(PULP) .word(0x4a67f5fb) +#endif .word(0x670dd877) .word(0x75f13d57) .word(0xf62539cf) .word(0xcc02fa53) .word(0xde21817b) .word(0x1f44bccb) +#if !defined(PULP) .word(0x2a3137ab) +#endif .word(0x1ce18af3) .word(0x4bb964b3) .word(0x8d0011bb) @@ -26519,7 +28917,9 @@ main: .word(0xef62ff4f) .word(0xca169353) .word(0x37465d6b) +#if !defined(PULP) .word(0xa9ae947b) +#endif .word(0xb6d0af57) .word(0xd4bb4f3b) .word(0xe01fb91b) @@ -26565,7 +28965,9 @@ main: .word(0x3f03c4cf) .word(0x3b0ff9bb) .word(0xc146ab53) +#if !defined(PULP) .word(0x83b6e57b) +#endif .word(0x66aec1a3) .word(0xea36392f) .word(0x0f8aedb3) @@ -26580,7 +28982,9 @@ main: .word(0xb3da5553) .word(0x76dfd323) .word(0xe4c63d2b) +#if !defined(PULP) .word(0xa254687b) +#endif .word(0xde755e23) .word(0x4381caeb) .word(0xf58854eb) @@ -26594,16 +28998,22 @@ main: .word(0xe6fce12b) .word(0xc6a4bec3) .word(0x9b9ab0ab) +#if !defined(PULP) .word(0x201e6b0b) +#endif .word(0x16f3aeb3) .word(0x24ea0987) .word(0xd4e50f1b) .word(0x768efa4b) +#if !defined(PULP) .word(0x2331bf2b) +#endif .word(0x64246c9b) .word(0x78bfae67) .word(0x7ec91d67) +#if !defined(PULP) .word(0x5af7bbab) +#endif .word(0x6e56dea3) .word(0x8d1d4eb3) .word(0x2d1548af) @@ -26734,7 +29144,9 @@ main: .word(0x3b503f67) .word(0x084744e7) .word(0x3b0c0daf) +#if !defined(PULP) .word(0x460cf68b) +#endif .word(0xd28a4327) .word(0x1f806bb3) .word(0x378de077) @@ -26749,7 +29161,9 @@ main: .word(0xc783d0d3) .word(0xd4171a6b) .word(0x582b0dd3) +#if !defined(PULP) .word(0x5583827b) +#endif .word(0x02e8863b) .word(0x13368db3) .word(0x447def87) @@ -26757,15 +29171,23 @@ main: .word(0x4f937a4b) .word(0xef00836b) .word(0xe5d28473) +#if !defined(PULP) .word(0x0f2671fb) +#endif .word(0x5f6401af) .word(0x2619ca33) +#if !defined(PULP) .word(0xb3afe38b) +#endif .word(0x62037283) .word(0xe2a89857) +#if !defined(PULP) .word(0xa89a778b) +#endif .word(0x02a04e1b) +#if !defined(PULP) .word(0xb9d38e7b) +#endif .word(0x094d6a9b) .word(0x8f38358b) .word(0x313f2aaf) @@ -26828,11 +29250,15 @@ main: .word(0xbea90653) .word(0xfe5f7e2f) .word(0x905c36bb) +#if !defined(PULP) .word(0x00f7827b) +#endif .word(0x03920d1b) .word(0x8cb3772b) .word(0xb64af5bb) +#if !defined(PULP) .word(0xd143ef8b) +#endif .word(0xf85f07d7) .word(0xbee4c83b) .word(0x6abf3a1b) @@ -26843,7 +29269,9 @@ main: .word(0x32c7c02f) .word(0xfec555c7) .word(0x2f38a143) +#if !defined(PULP) .word(0x42557e8b) +#endif .word(0x1eb915a7) .word(0x641661af) .word(0x816635af) @@ -26894,7 +29322,9 @@ main: .word(0x26ccac77) .word(0x3036b79b) .word(0x8bc03503) +#if !defined(PULP) .word(0x00d9757b) +#endif .word(0x0edfdeab) .word(0x86cf42a3) .word(0xc8e15307) @@ -26922,7 +29352,9 @@ main: .word(0x9c0c659b) .word(0x9501cfd3) .word(0xaf57c153) +#if !defined(PULP) .word(0x9ae8ff0b) +#endif .word(0x1bda0c77) .word(0x3a0e95d7) .word(0x161aa0e7) @@ -26947,7 +29379,9 @@ main: .word(0x900e7c77) .word(0x1c4b532f) .word(0xefedb653) +#if !defined(PULP) .word(0x0cf9f00b) +#endif .word(0x4712f3b3) .word(0x59fa8053) .word(0x777dd367) @@ -26969,7 +29403,9 @@ main: .word(0x351b7f1b) .word(0x89967dbb) .word(0xec4fa967) +#if !defined(PULP) .word(0x51d6a55b) +#endif .word(0x35b6f02f) .word(0xd28531af) .word(0x13e1166b) @@ -26983,7 +29419,9 @@ main: .word(0x9b6d4ef7) .word(0x9b8d04d3) .word(0xe6fe8d4b) +#if !defined(PULP) .word(0x62b720db) +#endif .word(0xfeffcceb) .word(0xfb1089fb) .word(0xf1e9751b) @@ -27048,7 +29486,9 @@ main: .word(0x1d8c40b3) .word(0xdc75dd3b) .word(0x6380dad7) +#if !defined(PULP) .word(0x66f6c62b) +#endif .word(0x54936f23) .word(0x382faa3b) .word(0xa68c946b) @@ -27083,7 +29523,9 @@ main: .word(0x131fd977) .word(0xee5ad41b) .word(0x81b0e707) +#if !defined(PULP) .word(0xc57b20db) +#endif .word(0xb308b853) .word(0xb0575b93) .word(0x666e2757) @@ -27100,7 +29542,9 @@ main: .word(0x9644f32f) .word(0xf5d48327) .word(0x253edf3b) +#if !defined(PULP) .word(0x8f4d352b) +#endif .word(0xcfc56d77) .word(0x4df4f3f7) .word(0xf7d3e5f7) @@ -27145,15 +29589,21 @@ main: .word(0x87c7ec53) .word(0x0e4cba57) .word(0xb8b9ac53) +#if !defined(PULP) .word(0x6a5aea7b) +#endif .word(0x8d807187) .word(0x79e69aeb) .word(0xbd6ec3d7) .word(0x0cb9f7af) .word(0x6ed74fcb) .word(0xe3930207) +#if !defined(PULP) .word(0xcd22ee0b) +#endif +#if !defined(PULP) .word(0x7beaeb8b) +#endif .word(0x9d52e13b) .word(0x923a5553) .word(0x07ee5707) @@ -27165,7 +29615,9 @@ main: .word(0xaae6d89b) .word(0xdda40b7b) .word(0x6bbdc99b) +#if !defined(PULP) .word(0xe5a1f18b) +#endif .word(0x8053422f) .word(0xd01598a7) .word(0x78ee3e23) @@ -27175,7 +29627,9 @@ main: .word(0xbe6cac3b) .word(0x8a5f0073) .word(0x46b4214b) +#if !defined(PULP) .word(0x456fa15b) +#endif .word(0xd90b2053) .word(0xf57fa1af) .word(0x6728fcc3) @@ -27184,7 +29638,9 @@ main: .word(0x39f666d3) .word(0x0669e04f) .word(0x62ac173b) +#if !defined(PULP) .word(0x5578e88b) +#endif .word(0x05c55fa7) .word(0x83fec0a7) .word(0x0a5ec573) @@ -27238,7 +29694,9 @@ main: .word(0x70704bf3) .word(0x436b0b2f) .word(0xeed1afbb) +#if !defined(PULP) .word(0x0d83352b) +#endif .word(0xf1003603) .word(0x118504f7) .word(0x5e3ed6eb) @@ -27312,7 +29770,9 @@ main: .word(0x6087f7eb) .word(0x9e3b0387) .word(0xba1c1a1b) +#if !defined(PULP) .word(0x9e95e90b) +#endif .word(0xea4ce957) .word(0x776927bb) .word(0x231e926b) @@ -27333,7 +29793,9 @@ main: .word(0x068b672b) .word(0x7ccb5fe7) .word(0xc5fc67d7) +#if !defined(PULP) .word(0x06c27a0b) +#endif .word(0x6cfd83f7) .word(0x170efad3) .word(0x8f1ee24b) @@ -27376,7 +29838,9 @@ main: .word(0x7f6c2ccb) .word(0x6f0fd413) .word(0x047d3afb) +#if !defined(PULP) .word(0x37d5e0fb) +#endif .word(0x49968727) .word(0xf7534067) .word(0xd4d8ef6b) @@ -27398,8 +29862,12 @@ main: .word(0x9d47421b) .word(0xf0a079eb) .word(0x9d5e5ce7) +#if !defined(PULP) .word(0xe47f608b) +#endif +#if !defined(PULP) .word(0x0d50e08b) +#endif .word(0xf8f46ea3) .word(0x6e52b4a3) .word(0x77d6386b) @@ -27407,7 +29875,9 @@ main: .word(0x65745453) .word(0x3ef231b3) .word(0xcef93a2b) +#if !defined(PULP) .word(0x0e5f66fb) +#endif .word(0x4623249b) .word(0xd990cb53) .word(0x19e37477) @@ -27428,7 +29898,9 @@ main: .word(0x5839cda7) .word(0x8516adbb) .word(0x1a68f7ab) +#if !defined(PULP) .word(0x358fc7ab) +#endif .word(0x890dd8d3) .word(0xcf0e59cf) .word(0x7ae660eb) @@ -27438,7 +29910,9 @@ main: .word(0xc65f4777) .word(0xa0ccf4ab) .word(0x6722f843) +#if !defined(PULP) .word(0x38dc88fb) +#endif .word(0xdd8e1507) .word(0x9da411bb) .word(0x9e30f89b) @@ -27447,7 +29921,9 @@ main: .word(0x967b5e93) .word(0x9b697d03) .word(0x5d1b313b) +#if !defined(PULP) .word(0x5c5c6e8b) +#endif .word(0xedecfdd3) .word(0x16046567) .word(0xd0c035af) @@ -27461,16 +29937,24 @@ main: .word(0x79abbf1b) .word(0x1197d03b) .word(0xb69624eb) +#if !defined(PULP) .word(0xc76e748b) +#endif .word(0xe40ea01b) .word(0x6662a33b) .word(0xcd639d07) +#if !defined(PULP) .word(0x569fa75b) +#endif .word(0x1ab47d6b) .word(0xa365d727) .word(0x9636ac3b) +#if !defined(PULP) .word(0xcbcef70b) +#endif +#if !defined(PULP) .word(0x6011e88b) +#endif .word(0xda24df77) .word(0x82e160ab) .word(0xeb19337b) @@ -27489,7 +29973,9 @@ main: .word(0xba5d7e07) .word(0xb6902ceb) .word(0xb5f54eab) +#if !defined(PULP) .word(0x8c86ec0b) +#endif .word(0x299d3383) .word(0xa186d5a3) .word(0x562d6c9b) @@ -27522,7 +30008,9 @@ main: .word(0x6f2c5333) .word(0x05c402af) .word(0x4f84c573) +#if !defined(PULP) .word(0x730f618b) +#endif .word(0x7c2c4d07) .word(0xa7d9644f) .word(0x39a8bd7b) @@ -27547,7 +30035,9 @@ main: .word(0x9e331e43) .word(0x1ab5ffaf) .word(0xd1c3e1eb) +#if !defined(PULP) .word(0x906f302b) +#endif .word(0xbb183bd3) .word(0x7aee2757) .word(0x4d596ee7) @@ -27573,8 +30063,12 @@ main: .word(0x462a756b) .word(0xa8704f2b) .word(0xd8c9fbf7) +#if !defined(PULP) .word(0x0cf3738b) +#endif +#if !defined(PULP) .word(0x4937618b) +#endif .word(0x85dea557) .word(0x3174fb03) .word(0x8f3910f7) @@ -27582,8 +30076,12 @@ main: .word(0x503b5be7) .word(0x31eb94b3) .word(0xa6609bfb) +#if !defined(PULP) .word(0x5f8f738b) +#endif +#if !defined(PULP) .word(0x2586f57b) +#endif .word(0xcfc7f41b) .word(0x30e42c9b) .word(0x4f0bb303) @@ -27595,12 +30093,16 @@ main: .word(0xd4839eaf) .word(0xd706f3fb) .word(0x2fe07bc7) +#if !defined(PULP) .word(0x4d26927b) +#endif .word(0x275586d3) .word(0x8600511b) .word(0xded9b757) .word(0x1532c373) +#if !defined(PULP) .word(0x30c076fb) +#endif .word(0x26ea9677) .word(0x0c42247b) .word(0x17192d57) @@ -27612,7 +30114,9 @@ main: .word(0x0a3dd9f7) .word(0xc04a47af) .word(0xeb83e8d3) +#if !defined(PULP) .word(0xd03daedb) +#endif .word(0x04374267) .word(0x87b16d9b) .word(0x5f5ccaf3) @@ -27645,7 +30149,9 @@ main: .word(0x950ecba7) .word(0x2c1fe4bb) .word(0x9911b63b) +#if !defined(PULP) .word(0xbc726f8b) +#endif .word(0x37529533) .word(0x0f3b302f) .word(0xc111a2eb) @@ -27655,10 +30161,14 @@ main: .word(0x3daf9813) .word(0xfb7597a7) .word(0x173a12af) +#if !defined(PULP) .word(0x5ed1ff8b) +#endif .word(0x7ad3acbb) .word(0x1114272f) +#if !defined(PULP) .word(0x8a9b69fb) +#endif .word(0x51fd741b) .word(0x9d6fa7eb) .word(0x74df06f3) @@ -27723,8 +30233,12 @@ main: .word(0xc6e5a077) .word(0xa7ba80bb) .word(0xb4573ed7) +#if !defined(PULP) .word(0x0079610b) +#endif +#if !defined(PULP) .word(0xe0ffec8b) +#endif .word(0x332b4aa7) .word(0x0a1e02eb) .word(0xde2df6d7) @@ -27782,12 +30296,16 @@ main: .word(0xc52e70bb) .word(0xea40b41b) .word(0x6736cdcb) +#if !defined(PULP) .word(0xf36abf5b) +#endif .word(0xd1bab423) .word(0x9d6d8e07) .word(0x6ac85f1b) .word(0x64542ab3) +#if !defined(PULP) .word(0x72ce6a0b) +#endif .word(0x87b37a1b) .word(0xa706fdbb) .word(0xf978647b) @@ -27808,9 +30326,13 @@ main: .word(0xfea6ec1b) .word(0xb2381013) .word(0x0f8f1bd7) +#if !defined(PULP) .word(0x1c9b6e7b) +#endif .word(0xc45ddda3) +#if !defined(PULP) .word(0x01f5b02b) +#endif .word(0xa280cc9b) .word(0xe7fc943b) .word(0x5efccc4b) @@ -27828,7 +30350,9 @@ main: .word(0xfbf88bbb) .word(0x2c97b3a3) .word(0x48836767) +#if !defined(PULP) .word(0x17e57a7b) +#endif .word(0x33ab61eb) .word(0x8922b43b) .word(0xe12e646b) @@ -27877,7 +30401,9 @@ main: .word(0xee02969b) .word(0xad598e57) .word(0x6df03faf) +#if !defined(PULP) .word(0x60a811fb) +#endif .word(0x2b53486b) .word(0xd9fd1267) .word(0xdc3db3f7) @@ -27917,7 +30443,9 @@ main: .word(0xac69206b) .word(0x96c70d4b) .word(0xb2ef3123) +#if !defined(PULP) .word(0x3fbcf9fb) +#endif .word(0xf70e96a7) .word(0xbfd33baf) .word(0xbfa4444b) @@ -27940,7 +30468,9 @@ main: .word(0xb4337ed3) .word(0x585988d3) .word(0x1c490af7) +#if !defined(PULP) .word(0xe376fb8b) +#endif .word(0x90538af7) .word(0xff48f503) .word(0xd614c447) @@ -27962,7 +30492,9 @@ main: .word(0xbb55e4ab) .word(0xab77253b) .word(0x3dcfdaf7) +#if !defined(PULP) .word(0xb92d9c7b) +#endif .word(0x12b6ca27) .word(0x2cb63623) .word(0x4ccd03b3) @@ -27979,7 +30511,9 @@ main: .word(0xa35b02b3) .word(0x2e2181a7) .word(0xb194a1d7) +#if !defined(PULP) .word(0xbc6ee40b) +#endif .word(0xb4ef4307) .word(0xd65a76a3) .word(0xe9f8bc2b) @@ -27992,7 +30526,9 @@ main: .word(0x3a14dcaf) .word(0x64139153) .word(0xdf236d6b) +#if !defined(PULP) .word(0x22273f2b) +#endif .word(0x66429453) .word(0xb7995547) .word(0xad6086fb) @@ -28006,12 +30542,16 @@ main: .word(0x9380b4f7) .word(0x3b6991e7) .word(0x05547483) +#if !defined(PULP) .word(0x7639f90b) +#endif .word(0x1f14572b) .word(0x300b882f) .word(0x512f58e7) .word(0x4fa93bbb) +#if !defined(PULP) .word(0x140a0dfb) +#endif .word(0x7447d93b) .word(0xfea162af) .word(0xc8d183bb) @@ -28025,7 +30565,9 @@ main: .word(0xa173c0f3) .word(0x8257549b) .word(0x53cfbb7b) +#if !defined(PULP) .word(0x74b0a5db) +#endif .word(0x0b5fd757) .word(0xfa94fe2b) .word(0x9fc80f7b) @@ -28044,14 +30586,18 @@ main: .word(0x26a8f2a3) .word(0x0094d26b) .word(0xa79d4a33) +#if !defined(PULP) .word(0x496b26db) +#endif .word(0x903e4f27) .word(0x7d32ebab) .word(0xfd8b73f7) .word(0x4df9c33b) .word(0x558d04af) .word(0x5e32d04b) +#if !defined(PULP) .word(0xc014fe7b) +#endif .word(0xbf2d424f) .word(0xe79f4d3b) .word(0x5977073b) @@ -28081,7 +30627,9 @@ main: .word(0x3704456b) .word(0x3f490ff7) .word(0x0ab4da2b) +#if !defined(PULP) .word(0xbadc3b7b) +#endif .word(0x29f32a9b) .word(0x8716572f) .word(0x6bc33577) @@ -28120,7 +30668,9 @@ main: .word(0x97dc3b33) .word(0x3bb015af) .word(0x6f822577) +#if !defined(PULP) .word(0x7076f08b) +#endif .word(0xade76a1b) .word(0xff5a9c1b) .word(0xe1f1b4e7) @@ -28135,7 +30685,9 @@ main: .word(0x82fad877) .word(0x40d145d3) .word(0x58f03eb3) +#if !defined(PULP) .word(0x5b343fdb) +#endif .word(0x591e87af) .word(0xb1c00ed7) .word(0xdd09ff87) @@ -28143,7 +30695,9 @@ main: .word(0x19557c6b) .word(0x2101ed3b) .word(0x188f81af) +#if !defined(PULP) .word(0xdd63bedb) +#endif .word(0x08522fe7) .word(0x9a35806b) .word(0x5a1dfc1b) @@ -28227,7 +30781,9 @@ main: .word(0x59c03baf) .word(0xf8cb0e1b) .word(0x9176ee6b) +#if !defined(PULP) .word(0x0d74057b) +#endif .word(0x74ce48ab) .word(0xd532f33b) .word(0xc2c9b9f7) @@ -28241,7 +30797,9 @@ main: .word(0x3cfb5a93) .word(0xb7d49f9b) .word(0x1f515323) +#if !defined(PULP) .word(0x3199bcab) +#endif .word(0xc5d78127) .word(0x730ea8eb) .word(0xcba779eb) @@ -28266,7 +30824,9 @@ main: .word(0x871c1807) .word(0x3646df1b) .word(0x905e343b) +#if !defined(PULP) .word(0xf7076b8b) +#endif .word(0x12eb7f33) .word(0xd9cfe953) .word(0xae06b67b) @@ -28295,7 +30855,9 @@ main: .word(0x777d2933) .word(0x174c837b) .word(0x5202139b) +#if !defined(PULP) .word(0xe387e20b) +#endif .word(0x7c71b0a3) .word(0x7df83feb) .word(0xc01adf57) @@ -28306,7 +30868,9 @@ main: .word(0xba45b6e7) .word(0x550a2bd3) .word(0x736e012f) +#if !defined(PULP) .word(0x26bc6e8b) +#endif .word(0x537b107b) .word(0x54c4bcfb) .word(0x5ea96c67) @@ -28318,7 +30882,9 @@ main: .word(0xf93c669b) .word(0x1f15e8e7) .word(0x91ab7587) +#if !defined(PULP) .word(0x680970fb) +#endif .word(0x1e25496b) .word(0xc9108c27) .word(0x6240153b) @@ -28327,9 +30893,13 @@ main: .word(0xb2269113) .word(0x8feb1e4f) .word(0x77b09f7b) +#if !defined(PULP) .word(0xfc01688b) +#endif .word(0x68b919eb) +#if !defined(PULP) .word(0x2c12e98b) +#endif .word(0x2f779ec3) .word(0xc6e54a1b) .word(0x61b5ce73) @@ -28374,7 +30944,9 @@ main: .word(0xa917eebb) .word(0xf3dc8c57) .word(0x3f62fd83) +#if !defined(PULP) .word(0xc5ece58b) +#endif .word(0x95093fab) .word(0x4436d0a7) .word(0x0ec60907) @@ -28397,10 +30969,16 @@ main: .word(0x4c7110af) .word(0xdd0a5f93) .word(0x2d236f27) +#if !defined(PULP) .word(0x682b3a5b) +#endif +#if !defined(PULP) .word(0x7584628b) +#endif .word(0x51252ee7) +#if !defined(PULP) .word(0x887c7a7b) +#endif .word(0x576175f7) .word(0xfebfe12f) .word(0x5484ca73) @@ -28412,7 +30990,9 @@ main: .word(0xb124cf33) .word(0x9a181427) .word(0xb8a40b6b) +#if !defined(PULP) .word(0x7096e60b) +#endif .word(0xe8799caf) .word(0xdff4b603) .word(0x793d6687) @@ -28448,7 +31028,9 @@ main: .word(0xe0574c27) .word(0xe1857e7b) .word(0x8f4bb723) +#if !defined(PULP) .word(0x4583fafb) +#endif .word(0x90b55a77) .word(0xb98a3753) .word(0x01aabbf7) @@ -28467,7 +31049,9 @@ main: .word(0x6ba4c633) .word(0xfc7693d3) .word(0xad65e7bb) +#if !defined(PULP) .word(0xfd776c8b) +#endif .word(0xc5775cab) .word(0xb7ccf2eb) .word(0x8b4ef9b3) @@ -28485,9 +31069,13 @@ main: .word(0x016a2ae7) .word(0x50619ad3) .word(0x39f769d3) +#if !defined(PULP) .word(0x6bcc707b) +#endif .word(0x91c5a2fb) +#if !defined(PULP) .word(0x4de0e77b) +#endif .word(0x80834bbb) .word(0x6107d987) .word(0x2ac0666b) @@ -28505,7 +31093,9 @@ main: .word(0xd1b264bb) .word(0xe1e0cb57) .word(0xe2f49cf7) +#if !defined(PULP) .word(0xf8b893fb) +#endif .word(0xc7272c77) .word(0x9f97e1d3) .word(0x18c09b9b) @@ -28536,7 +31126,9 @@ main: .word(0xed57c7f3) .word(0x83ed33eb) .word(0x5f190b9b) +#if !defined(PULP) .word(0x95c3760b) +#endif .word(0xa9caef67) .word(0x6ae4d327) .word(0x9b5fc4e7) @@ -28548,7 +31140,9 @@ main: .word(0xe44cd927) .word(0xe4f6e1b3) .word(0xbcb361d3) +#if !defined(PULP) .word(0xe16c3d5b) +#endif .word(0xc3d81313) .word(0x752990eb) .word(0x41400253) @@ -28567,23 +31161,31 @@ main: .word(0x222519b3) .word(0x523c73af) .word(0xc3107303) +#if !defined(PULP) .word(0x9d24e88b) +#endif .word(0x518b622f) +#if !defined(PULP) .word(0x26e5edfb) +#endif .word(0x8fb94633) .word(0xcbb7fea3) .word(0xef16f657) .word(0x65e7f59b) .word(0x3fe514d7) .word(0xdf46ce23) +#if !defined(PULP) .word(0x2a68eb0b) +#endif .word(0x16039ae7) .word(0x3a43fb2b) .word(0x14e0fc27) .word(0x5f02f243) .word(0x59917aa3) .word(0x344a683b) +#if !defined(PULP) .word(0x17a5e7fb) +#endif .word(0xc289e52b) .word(0x07dce1cf) .word(0x2092eb6b) @@ -28612,14 +31214,18 @@ main: .word(0x6eae6a7b) .word(0xd21e4c07) .word(0xd858e87b) +#if !defined(PULP) .word(0x68272b5b) +#endif .word(0x464a5733) .word(0x6efad42b) .word(0x92409f7b) .word(0x4d8c8cb3) .word(0xcd8d61ab) .word(0x5e081367) +#if !defined(PULP) .word(0x689e8cfb) +#endif .word(0x9a975807) .word(0xdb6e50d3) .word(0x19de1e9b) @@ -28630,9 +31236,13 @@ main: .word(0xa421139b) .word(0xa43b7127) .word(0x2d7066af) +#if !defined(PULP) .word(0xebeffd8b) +#endif .word(0xd6a641a3) +#if !defined(PULP) .word(0x1e276d8b) +#endif .word(0x1d7c8773) .word(0x4ab9e83b) .word(0x57496cf7) @@ -28675,7 +31285,9 @@ main: .word(0xdfa3dd67) .word(0x97369df7) .word(0x126247b3) +#if !defined(PULP) .word(0xf31732db) +#endif .word(0x90da5e57) .word(0xed8bf227) .word(0xa01becab) @@ -28704,14 +31316,18 @@ main: .word(0xe80fd113) .word(0x94d87d07) .word(0x53ec1ab3) +#if !defined(PULP) .word(0x4e8ba45b) +#endif .word(0x48308ed3) .word(0x3959703b) .word(0xd603492b) .word(0x69e943bb) .word(0xd284dcaf) .word(0xcd853c53) +#if !defined(PULP) .word(0xdbdef98b) +#endif .word(0x4b317b07) .word(0xbc6f5d07) .word(0x6772657b) @@ -28732,10 +31348,14 @@ main: .word(0xb74db1bb) .word(0xff8bf847) .word(0x87dee57b) +#if !defined(PULP) .word(0x012d74fb) +#endif .word(0xb344390b) .word(0xc922ce6b) +#if !defined(PULP) .word(0xd068ae5b) +#endif .word(0xa41569f7) .word(0x36d8234f) .word(0x6101eeb3) @@ -28835,7 +31455,9 @@ main: .word(0xc30dd627) .word(0x1e59d5e7) .word(0x5ce7e1d3) +#if !defined(PULP) .word(0xe14a2cdb) +#endif .word(0xb01cf6ab) .word(0xb75e059b) .word(0x2cdc541b) @@ -28864,7 +31486,9 @@ main: .word(0xc6ade82b) .word(0x354090af) .word(0x962dcf07) +#if !defined(PULP) .word(0xb9b812fb) +#endif .word(0xf91802d3) .word(0xbfc25807) .word(0xb179b367) @@ -28882,7 +31506,9 @@ main: .word(0xf666eec3) .word(0x03d68957) .word(0xed1e08f3) +#if !defined(PULP) .word(0xd051600b) +#endif .word(0xaa327427) .word(0xd1447833) .word(0x33e44627) @@ -28961,14 +31587,20 @@ main: .word(0x2f0e3ed7) .word(0xd016bcfb) .word(0xf4e5e02f) +#if !defined(PULP) .word(0x4db7660b) +#endif +#if !defined(PULP) .word(0xba95b3fb) +#endif .word(0x56abcb9b) .word(0xbcdc98bb) .word(0x25917faf) .word(0xd5862d77) .word(0xf39d569b) +#if !defined(PULP) .word(0x389f617b) +#endif .word(0x7898551b) .word(0x2fb2d1cf) .word(0x161c4087) @@ -29022,12 +31654,18 @@ main: .word(0xe118992f) .word(0x15eac6a7) .word(0x8545b3b3) +#if !defined(PULP) .word(0x7a9cb45b) +#endif +#if !defined(PULP) .word(0x93f3610b) +#endif .word(0xfa0c7907) .word(0x682cf5f7) .word(0x20b935d3) +#if !defined(PULP) .word(0x397ef47b) +#endif .word(0x916917bb) .word(0x8276f8d3) .word(0x4df8f3bb) @@ -29042,7 +31680,9 @@ main: .word(0x49bb1f87) .word(0x955ff83b) .word(0xa173f127) +#if !defined(PULP) .word(0xc46ce80b) +#endif .word(0xb80c3a9b) .word(0x66d0a167) .word(0x1c458277) @@ -29065,7 +31705,9 @@ main: .word(0x2e085abb) .word(0xd936d833) .word(0xadbb9de7) +#if !defined(PULP) .word(0x090be6fb) +#endif .word(0x38dd6d9b) .word(0xfa7f9387) .word(0xae8c08bb) @@ -29093,7 +31735,9 @@ main: .word(0xcf3af9cb) .word(0x20d440d3) .word(0x6be08ef7) +#if !defined(PULP) .word(0x7509e20b) +#endif .word(0x7719ffe7) .word(0x43d06b6b) .word(0x5e55cb2f) @@ -29116,14 +31760,18 @@ main: .word(0xd45b69e7) .word(0x1d54e0a7) .word(0xe65fe2bb) +#if !defined(PULP) .word(0x937cf88b) +#endif .word(0xffc8ebcf) .word(0x2ee97a2b) .word(0xf32fc4af) .word(0x2be594d7) .word(0xd18bfabb) .word(0xfed602d7) +#if !defined(PULP) .word(0x6543710b) +#endif .word(0xd617cc77) .word(0xef9e27cb) .word(0x421f3d1b) @@ -29134,7 +31782,9 @@ main: .word(0xfeacb9cf) .word(0xf3297177) .word(0x2c5514b3) +#if !defined(PULP) .word(0x1a7c780b) +#endif .word(0x26b289a7) .word(0xd49fae3b) .word(0x0ad04257) @@ -29151,7 +31801,9 @@ main: .word(0x5bd5d867) .word(0xcc78e557) .word(0xf125767b) +#if !defined(PULP) .word(0x40298a7b) +#endif .word(0xd030b3a3) .word(0x57f6f01b) .word(0x5cd58453) @@ -29166,9 +31818,13 @@ main: .word(0x795a1c67) .word(0xc8494e6b) .word(0x7036c973) +#if !defined(PULP) .word(0xcd74395b) +#endif .word(0x7a6fb82f) +#if !defined(PULP) .word(0x9b85f4fb) +#endif .word(0x3c7f7953) .word(0xcab77d27) .word(0x5f151693) @@ -29196,9 +31852,13 @@ main: .word(0xaf5103d3) .word(0xc2976c87) .word(0x1db63767) +#if !defined(PULP) .word(0xb9b2670b) +#endif .word(0xfa3faef7) +#if !defined(PULP) .word(0x819fef0b) +#endif .word(0x455838bb) .word(0x8931f187) .word(0x12a753b3) @@ -29221,7 +31881,9 @@ main: .word(0x67af207b) .word(0xb9973c3b) .word(0x7e2cf6d7) +#if !defined(PULP) .word(0xc8c1f10b) +#endif .word(0xd876931b) .word(0x2e089757) .word(0xa20c64ab) @@ -29302,7 +31964,9 @@ main: .word(0xaf0c8153) .word(0xe780c7af) .word(0xb790ca2b) +#if !defined(PULP) .word(0xf30b8efb) +#endif .word(0xd9fa63f7) .word(0x3053a96b) .word(0xcef135af) @@ -29316,7 +31980,9 @@ main: .word(0x4f3d834f) .word(0x541b6227) .word(0xe73acbf7) +#if !defined(PULP) .word(0x11136c0b) +#endif .word(0xaf8b5907) .word(0x71b3c907) .word(0x140cf5f7) @@ -29372,7 +32038,9 @@ main: .word(0x0c1d5ab3) .word(0x733750a3) .word(0x8f46344b) +#if !defined(PULP) .word(0xa074f5fb) +#endif .word(0x385a71e7) .word(0x42e40727) .word(0xd06554b3) @@ -29389,7 +32057,9 @@ main: .word(0xec1cf71b) .word(0xdc790baf) .word(0xa63b9493) +#if !defined(PULP) .word(0x85fcb6ab) +#endif .word(0xa6a2c49b) .word(0xe391da1b) .word(0xc756b78b) @@ -29411,7 +32081,9 @@ main: .word(0xae3e752f) .word(0x180ab977) .word(0x8d18fc6b) +#if !defined(PULP) .word(0x059d177b) +#endif .word(0x1a81247b) .word(0x9086f9a7) .word(0x73ffd127) @@ -29432,7 +32104,9 @@ main: .word(0x97bd0b87) .word(0x1bef0873) .word(0x7464bea3) +#if !defined(PULP) .word(0x45d0225b) +#endif .word(0x56aa4627) .word(0x7a119313) .word(0x1fc971cf) @@ -29444,20 +32118,28 @@ main: .word(0xe7fda353) .word(0x8a8983bb) .word(0x781027d3) +#if !defined(PULP) .word(0xe1ae9f7b) +#endif .word(0x4c84851b) .word(0x5002eab3) .word(0x64a6c527) +#if !defined(PULP) .word(0xc9fdfa7b) +#endif .word(0x574b17c7) +#if !defined(PULP) .word(0x796d3cdb) +#endif .word(0x6f6515c3) .word(0x3d0401f7) .word(0x29b7d91b) .word(0x54ee1ba7) .word(0x62afccd7) .word(0xc5027a57) +#if !defined(PULP) .word(0x4c6deefb) +#endif .word(0xb097851b) .word(0x97a3ac47) .word(0x09b9c5e7) @@ -29469,12 +32151,18 @@ main: .word(0x739bfd2b) .word(0x97a5e953) .word(0xd973b48b) +#if !defined(PULP) .word(0xedd6245b) +#endif +#if !defined(PULP) .word(0x4934fb0b) +#endif .word(0x7972be77) .word(0xfed067cb) .word(0x36e796c7) +#if !defined(PULP) .word(0x3d5ffbfb) +#endif .word(0x28cab723) .word(0x7e5e5487) .word(0x57bbe7af) @@ -29486,10 +32174,14 @@ main: .word(0xaef2ab67) .word(0x61264d27) .word(0xf418e867) +#if !defined(PULP) .word(0x9810698b) +#endif .word(0x777ed2a7) .word(0xaca6c627) +#if !defined(PULP) .word(0x0b6a7dfb) +#endif .word(0x325fb077) .word(0x85cf122f) .word(0x95374e53) @@ -29516,7 +32208,9 @@ main: .word(0x2ffe2bd7) .word(0x7ea470a3) .word(0x0cd41ea7) +#if !defined(PULP) .word(0x1da9f3fb) +#endif .word(0xfbd7fb67) .word(0x1609f623) .word(0x33ba473b) @@ -29611,7 +32305,9 @@ main: .word(0x46fbe4c3) .word(0x7d089357) .word(0xacf418d3) +#if !defined(PULP) .word(0xbb098d7b) +#endif .word(0x5b7b8a73) .word(0xe2acf107) .word(0x6fa9dd67) @@ -29633,7 +32329,9 @@ main: .word(0x94c25a93) .word(0xa41d6f3b) .word(0x4e4747af) +#if !defined(PULP) .word(0x5333680b) +#endif .word(0x7b29a053) .word(0x5eb6112f) .word(0xe676d63b) @@ -29643,7 +32341,9 @@ main: .word(0x1ba0c2eb) .word(0x3084eda7) .word(0x1f19e19b) +#if !defined(PULP) .word(0xfd8c6d8b) +#endif .word(0x9e5f6487) .word(0x2a68d913) .word(0xa4e21213) @@ -29653,17 +32353,23 @@ main: .word(0xbfbfdb27) .word(0xca54da1b) .word(0xdddc7353) +#if !defined(PULP) .word(0x6b06f28b) +#endif .word(0x5da5006b) .word(0x3b1848d3) .word(0x0baa09f7) .word(0x4c5f5ef7) .word(0x7562f657) .word(0x84f51867) +#if !defined(PULP) .word(0x484c68fb) +#endif .word(0x6fee7f27) .word(0x210031eb) +#if !defined(PULP) .word(0x3fd676fb) +#endif .word(0x17081687) .word(0xb0f3e62f) .word(0x3f82a04b) @@ -29680,9 +32386,13 @@ main: .word(0xbb837b67) .word(0x2055c9ab) .word(0xa4a1cca3) +#if !defined(PULP) .word(0xcbb922db) +#endif .word(0xd311989b) +#if !defined(PULP) .word(0xe5c8a5db) +#endif .word(0xbd448707) .word(0xf3f82077) .word(0xf74f997b) @@ -29710,7 +32420,9 @@ main: .word(0xb78476d7) .word(0x3212773b) .word(0x03573e0b) +#if !defined(PULP) .word(0x0832007b) +#endif .word(0x266ff923) .word(0xd3bd0a07) .word(0x89d73a67) @@ -29758,7 +32470,9 @@ main: .word(0xf4a6403b) .word(0x93a6ad1b) .word(0x2e69bd33) +#if !defined(PULP) .word(0x67c8620b) +#endif .word(0xd3bf3b67) .word(0xe5304e57) .word(0x5432cf77) @@ -29781,14 +32495,18 @@ main: .word(0x2e3c4cab) .word(0x26fc184b) .word(0x18f6bb0b) +#if !defined(PULP) .word(0x1b07392b) +#endif .word(0x05ac81f3) .word(0xaf6d0e33) .word(0xddc4b01b) .word(0x18071e6b) .word(0x428bd9a3) .word(0xda2fcf9b) +#if !defined(PULP) .word(0x99cdfb0b) +#endif .word(0x8a9b9de7) .word(0xfa2ed453) .word(0xf26fc7a3) @@ -29802,20 +32520,28 @@ main: .word(0x417ddd77) .word(0x09cf3b8b) .word(0xb11c2cd3) +#if !defined(PULP) .word(0x083334ab) +#endif .word(0x933c9e27) .word(0x0345c3f3) .word(0x76a447a7) .word(0x326226eb) +#if !defined(PULP) .word(0x34d7770b) +#endif .word(0x40f1bf23) .word(0xa2620233) .word(0x52fac9af) .word(0xfe5ff2cb) .word(0x2e085e4f) .word(0x8a169257) +#if !defined(PULP) .word(0xa146c72b) +#endif +#if !defined(PULP) .word(0x9b397b0b) +#endif .word(0x2eb2b3e7) .word(0xcfd6d667) .word(0x56f8d22f) @@ -29828,7 +32554,9 @@ main: .word(0xcb7fbf57) .word(0x716bed57) .word(0x306f0df3) +#if !defined(PULP) .word(0x03d1e58b) +#endif .word(0x4c2550a3) .word(0xae5783eb) .word(0xe4b24aa3) @@ -29844,13 +32572,17 @@ main: .word(0x111e4623) .word(0x719cc62f) .word(0x3f93ce27) +#if !defined(PULP) .word(0x9994648b) +#endif .word(0x1563969b) .word(0x25fc69e7) .word(0xb39a9e6b) .word(0xc84b116b) .word(0xb4937c6b) +#if !defined(PULP) .word(0xff526e8b) +#endif .word(0x158da6af) .word(0xce64d09b) .word(0xce06217b) @@ -29867,10 +32599,14 @@ main: .word(0x58b022bb) .word(0x8c09a29b) .word(0x227aa077) +#if !defined(PULP) .word(0xca35b7db) +#endif .word(0xbb88576b) .word(0xd76d3877) +#if !defined(PULP) .word(0xa1e71afb) +#endif .word(0xcc066053) .word(0xa4d757ab) .word(0xda81d3b3) @@ -29904,10 +32640,14 @@ main: .word(0x5a48d2af) .word(0xcd64f9bb) .word(0xee60eb53) +#if !defined(PULP) .word(0x8ba9f80b) +#endif .word(0xbdc1133b) .word(0xa5192aeb) +#if !defined(PULP) .word(0x4b966bfb) +#endif .word(0x861f17af) .word(0xe168586b) .word(0x1c16e927) @@ -29927,7 +32667,9 @@ main: .word(0xf11ae307) .word(0x0fe77c27) .word(0x4a0db0fb) +#if !defined(PULP) .word(0x40b3177b) +#endif .word(0x5d6be8b3) .word(0x454bce67) .word(0x9156536b) @@ -29947,7 +32689,9 @@ main: .word(0x0e7a46c3) .word(0x1c9f7aaf) .word(0x3ed4ddab) +#if !defined(PULP) .word(0x45e8ba5b) +#endif .word(0x5dbfbd77) .word(0x8da0bbb3) .word(0xe74c21d3) @@ -29959,7 +32703,9 @@ main: .word(0xbf19f5d3) .word(0x5531f2a3) .word(0x09d78427) +#if !defined(PULP) .word(0x4b2bc62b) +#endif .word(0x92bf9657) .word(0x94743783) .word(0xe4b91ffb) @@ -29977,14 +32723,18 @@ main: .word(0x00dfdf07) .word(0xb4503c2b) .word(0x3977ea33) +#if !defined(PULP) .word(0x2dd4eefb) +#endif .word(0xd056f5d3) .word(0xffcec6a3) .word(0xeea6aeeb) .word(0x766669fb) .word(0x60e45633) .word(0xfbc23a8b) +#if !defined(PULP) .word(0x6af7eb0b) +#endif .word(0x96fd1b43) .word(0x46b060a7) .word(0x3825f383) @@ -30044,7 +32794,9 @@ main: .word(0x72d5c1b3) .word(0x6370211b) .word(0x40f6a553) +#if !defined(PULP) .word(0x74d1b15b) +#endif .word(0x8c03311b) .word(0x16aaead3) .word(0x6ba2ebeb) @@ -30053,7 +32805,9 @@ main: .word(0x5e62c907) .word(0x574870d7) .word(0x6e419ac7) +#if !defined(PULP) .word(0xf9c51a7b) +#endif .word(0x283f3d0b) .word(0xfa5dea2f) .word(0x42d95623) @@ -30094,7 +32848,9 @@ main: .word(0x32b777b3) .word(0xebf921f7) .word(0xcedde7cf) +#if !defined(PULP) .word(0xbcd8eb0b) +#endif .word(0xfa97a96b) .word(0x1d9c6e1b) .word(0x13ccf5e7) @@ -30104,7 +32860,9 @@ main: .word(0xa168f903) .word(0xfa7bb80b) .word(0x6c008627) +#if !defined(PULP) .word(0x1514727b) +#endif .word(0xffd42d1b) .word(0xa685d0cf) .word(0x4990e8bb) @@ -30136,7 +32894,9 @@ main: .word(0x742b6a27) .word(0x9f777c47) .word(0xe3502ae7) +#if !defined(PULP) .word(0x21e71cfb) +#endif .word(0x65ed52ab) .word(0xd333283b) .word(0xc4d6c0eb) @@ -30150,7 +32910,9 @@ main: .word(0x8c66f9a7) .word(0x49305a93) .word(0x8851c187) +#if !defined(PULP) .word(0x7450a85b) +#endif .word(0x2b64cbd7) .word(0xa21cd707) .word(0xc9f1aceb) @@ -30185,10 +32947,14 @@ main: .word(0x7f8b84f3) .word(0x94d9433b) .word(0xc8e0807b) +#if !defined(PULP) .word(0x7696322b) +#endif .word(0x5b0e05b3) .word(0x81d29b6b) +#if !defined(PULP) .word(0x35f5777b) +#endif .word(0x56aaebb3) .word(0x8532852f) .word(0xd811dc33) @@ -30235,7 +33001,9 @@ main: .word(0x17208cbb) .word(0x1ebfb943) .word(0xbc7c1beb) +#if !defined(PULP) .word(0xe29bb15b) +#endif .word(0x47b816f7) .word(0xd581a4eb) .word(0x7950433b) @@ -30247,11 +33015,15 @@ main: .word(0xc5b9a36b) .word(0x73297407) .word(0xf27a9c3b) +#if !defined(PULP) .word(0x293ceafb) +#endif .word(0xb174ad3b) .word(0x34c08773) .word(0x4036b3eb) +#if !defined(PULP) .word(0x57662c5b) +#endif .word(0x7e0777a3) .word(0x284cbe67) .word(0x12efd393) @@ -30260,7 +33032,9 @@ main: .word(0x710ceeab) .word(0xd14208f7) .word(0xbc2d7123) +#if !defined(PULP) .word(0xa64d6d0b) +#endif .word(0x7d6c627b) .word(0x1886f703) .word(0xbfdf13fb) @@ -30273,7 +33047,9 @@ main: .word(0xd0a21977) .word(0x5c981baf) .word(0x107ba1e7) +#if !defined(PULP) .word(0x48c2f20b) +#endif .word(0x7a5ef7a3) .word(0xca5594f7) .word(0x12612cbb) @@ -30308,7 +33084,9 @@ main: .word(0xbfb94a4f) .word(0x11db49af) .word(0x275eae53) +#if !defined(PULP) .word(0xa897117b) +#endif .word(0x89a1a6af) .word(0x11d6e377) .word(0xce82b8af) @@ -30363,7 +33141,9 @@ main: .word(0xac3717a7) .word(0x85430107) .word(0x539eb27b) +#if !defined(PULP) .word(0x4526e67b) +#endif .word(0x65785a3b) .word(0x2d5d633b) .word(0x72644b9b) @@ -30373,16 +33153,22 @@ main: .word(0x952515d7) .word(0x0b4ce39b) .word(0xdfcc832f) +#if !defined(PULP) .word(0x6b12b5db) +#endif .word(0xa26061d3) .word(0xec84fbe7) .word(0xcb4d8d53) +#if !defined(PULP) .word(0x4209fffb) +#endif .word(0x7f7f5cab) .word(0x23031757) .word(0x3d773db3) .word(0x43c6a567) +#if !defined(PULP) .word(0x7d3225db) +#endif .word(0xe41c9d87) .word(0x11a8bcbb) .word(0x852efee7) @@ -30391,33 +33177,45 @@ main: .word(0x8eb21c93) .word(0x52163c7b) .word(0x3c129a07) +#if !defined(PULP) .word(0x2980617b) +#endif .word(0xea249007) .word(0x73ae249b) .word(0x805a2deb) .word(0x9b198027) .word(0x71eaf12f) .word(0x1bda1833) +#if !defined(PULP) .word(0xd19cfbfb) +#endif .word(0x08cc1007) .word(0x0269b78b) .word(0xd8e45c1b) .word(0xcee31753) +#if !defined(PULP) .word(0xd3b7fbfb) +#endif .word(0x66ece953) .word(0xd261b1eb) .word(0x5c6724af) +#if !defined(PULP) .word(0xc1b3738b) +#endif .word(0xe47f7727) .word(0x5738d047) .word(0xe4c65c27) .word(0xf113f81b) .word(0xf6e778d3) +#if !defined(PULP) .word(0x2b69baab) +#endif .word(0xbbe1de9b) .word(0xf484742b) .word(0x3e320157) +#if !defined(PULP) .word(0x7da4690b) +#endif .word(0xfc38de53) .word(0xa3e0a83b) .word(0xd62a5b23) @@ -30433,7 +33231,9 @@ main: .word(0x368ffb27) .word(0x42e16ff7) .word(0xac115e07) +#if !defined(PULP) .word(0xd045a95b) +#endif .word(0xc0a67f6b) .word(0x0a1537b3) .word(0x13ae9d27) @@ -30474,10 +33274,14 @@ main: .word(0x4670faa7) .word(0xd98d7ea3) .word(0x465f4ed7) +#if !defined(PULP) .word(0x10b2687b) +#endif .word(0x0c751bbb) .word(0xb75811f7) +#if !defined(PULP) .word(0xb869768b) +#endif .word(0x9008e367) .word(0xa04dca3b) .word(0xab18a3eb) @@ -30491,7 +33295,9 @@ main: .word(0x7f9fe8f7) .word(0x2fa28687) .word(0x5a8f8953) +#if !defined(PULP) .word(0x01c7beab) +#endif .word(0x457e566b) .word(0x0ceeff9b) .word(0x9ac7313b) @@ -30503,12 +33309,16 @@ main: .word(0x38f353d3) .word(0x4d60362f) .word(0x6470e8d3) +#if !defined(PULP) .word(0xef547c0b) +#endif .word(0x89707eb3) .word(0x0f18e3a3) .word(0x9ffdadfb) .word(0xdb0baed7) +#if !defined(PULP) .word(0xd42eff8b) +#endif .word(0x31af6827) .word(0x96b1912f) .word(0x448b7a33) @@ -30543,7 +33353,9 @@ main: .word(0x895ca3bb) .word(0x42688277) .word(0x73f402f3) +#if !defined(PULP) .word(0x7d0fbb5b) +#endif .word(0xc274f2eb) .word(0xce00b09b) .word(0xb81f3f0b) @@ -30565,7 +33377,9 @@ main: .word(0x93e2119b) .word(0xa3b3e93b) .word(0xdc598a73) +#if !defined(PULP) .word(0xbd227e8b) +#endif .word(0xcc38fd03) .word(0x017c2e3b) .word(0xab240357) @@ -30581,7 +33395,9 @@ main: .word(0xae1ef347) .word(0x064e4c73) .word(0xcf4b47d7) +#if !defined(PULP) .word(0x6a25f97b) +#endif .word(0xb6b462bb) .word(0xc372bb57) .word(0x39590dbb) @@ -30651,7 +33467,9 @@ main: .word(0xff3af5f7) .word(0x8a17d477) .word(0xa0b23f3b) +#if !defined(PULP) .word(0x1a8b790b) +#endif .word(0x843186bb) .word(0xfac1cdbb) .word(0xbd93222f) @@ -30727,7 +33545,9 @@ main: .word(0x79a96677) .word(0x5a6ca2f7) .word(0x3efa8f3b) +#if !defined(PULP) .word(0x83dd7b7b) +#endif .word(0x187c6aa7) .word(0x3ca302af) .word(0xf2713fd3) @@ -30757,7 +33577,9 @@ main: .word(0xc7146027) .word(0xfb78346b) .word(0x4fcc3c57) +#if !defined(PULP) .word(0xfdb23e5b) +#endif .word(0xf1ed401b) .word(0x99a5d513) .word(0x14706523) @@ -30789,7 +33611,9 @@ main: .word(0x85427b57) .word(0xcc3677a3) .word(0xfebc6147) +#if !defined(PULP) .word(0x4c86365b) +#endif .word(0x92adf22f) .word(0x27d702cb) .word(0x90b1b8d7) @@ -30820,7 +33644,9 @@ main: .word(0x9a523523) .word(0x11f4d8b3) .word(0x6c2dcb2f) +#if !defined(PULP) .word(0x23cfbfab) +#endif .word(0xe6637127) .word(0x0dc13efb) .word(0x62706807) @@ -30839,7 +33665,9 @@ main: .word(0xefd6deb3) .word(0x54309a67) .word(0xbe5dbbcb) +#if !defined(PULP) .word(0xa1067c7b) +#endif .word(0x1cbadaa7) .word(0x03ed052f) .word(0x1ea44a9b) @@ -30850,7 +33678,9 @@ main: .word(0x8e65a4e7) .word(0xb2dc993b) .word(0xe50612a7) +#if !defined(PULP) .word(0xe05729db) +#endif .word(0xff091dc7) .word(0x1ef2c9b3) .word(0x868602cf) @@ -30875,7 +33705,9 @@ main: .word(0x29bcdd6b) .word(0xe6663557) .word(0x048225fb) +#if !defined(PULP) .word(0xa727e38b) +#endif .word(0x8fbe1267) .word(0x87f263cb) .word(0x46378cf3) @@ -30897,7 +33729,9 @@ main: .word(0x1648f6c7) .word(0x440ad7e7) .word(0x3351a0fb) +#if !defined(PULP) .word(0x47df395b) +#endif .word(0x531bfef7) .word(0xf675094b) .word(0xa4e851a3) @@ -30959,13 +33793,17 @@ main: .word(0x41381177) .word(0xcd39deaf) .word(0x97ec4243) +#if !defined(PULP) .word(0x56b7617b) +#endif .word(0xf000a5af) .word(0xbf95ccc3) .word(0xf8d3c6bb) .word(0x83a459af) .word(0x76619db3) +#if !defined(PULP) .word(0x1a257cfb) +#endif .word(0x6fb4bb43) .word(0x8e7b259b) .word(0xfc1a3277) @@ -30985,7 +33823,9 @@ main: .word(0xbf306b6b) .word(0x4ea87cf7) .word(0xb2c1306b) +#if !defined(PULP) .word(0x327b780b) +#endif .word(0xb6ee9fc7) .word(0x91a3e2eb) .word(0xec7ca93b) @@ -30993,14 +33833,20 @@ main: .word(0xc6076cd3) .word(0x12d2c6b3) .word(0xf82fade7) +#if !defined(PULP) .word(0x7ab97c0b) +#endif .word(0x4d2647b3) .word(0x689db6bb) +#if !defined(PULP) .word(0x51f3fb7b) +#endif .word(0x660b7d83) .word(0x704defaf) .word(0x608a358b) +#if !defined(PULP) .word(0xba2813fb) +#endif .word(0x512c566b) .word(0xae697b23) .word(0x66f817af) @@ -31011,9 +33857,13 @@ main: .word(0x378079af) .word(0x709150e7) .word(0xe249732b) +#if !defined(PULP) .word(0x50bf16fb) +#endif .word(0x22d8c9eb) +#if !defined(PULP) .word(0x65d0b7db) +#endif .word(0xd9ae61a3) .word(0x470c24d7) .word(0x625cd2a3) @@ -31031,7 +33881,9 @@ main: .word(0x49a4a867) .word(0xdf4ce9a3) .word(0x646c7aeb) +#if !defined(PULP) .word(0x179dfd0b) +#endif .word(0x7eb2b3c7) .word(0xcd967153) .word(0xc045c177) @@ -31065,7 +33917,9 @@ main: .word(0x37c0f1e7) .word(0x2f7bca2f) .word(0x95c69467) +#if !defined(PULP) .word(0x44cf638b) +#endif .word(0x8f4892e7) .word(0x1deb54e7) .word(0xc7545127) @@ -31158,7 +34012,9 @@ main: .word(0x93fdfbd3) .word(0x59d955b3) .word(0x590a279b) +#if !defined(PULP) .word(0x3d6df90b) +#endif .word(0xf9017833) .word(0xfe408457) .word(0xea7772d3) @@ -31192,7 +34048,9 @@ main: .word(0x367a7087) .word(0x3a9b08f3) .word(0x5112f177) +#if !defined(PULP) .word(0xe40f3b5b) +#endif .word(0x865a26fb) .word(0xd76e4e4f) .word(0x675c96eb) @@ -31285,7 +34143,9 @@ main: .word(0x77398707) .word(0x7fe8a63b) .word(0x4b08bc33) +#if !defined(PULP) .word(0x18077c0b) +#endif .word(0x192b7aab) .word(0x467f0607) .word(0xbe20e1a7) @@ -31309,7 +34169,9 @@ main: .word(0x0e466fa3) .word(0x061f5d53) .word(0x2b2c272f) +#if !defined(PULP) .word(0xb49dfa0b) +#endif .word(0xe5717587) .word(0x37e9793b) .word(0x2deedfa7) @@ -31327,8 +34189,12 @@ main: .word(0x0dc7b423) .word(0x049324e7) .word(0xe0e2617b) +#if !defined(PULP) .word(0x3ebf6e0b) +#endif +#if !defined(PULP) .word(0x8a2ff78b) +#endif .word(0x4ab25a53) .word(0x69db252f) .word(0xa0b135af) @@ -31355,7 +34221,9 @@ main: .word(0x8800e633) .word(0x2f332e53) .word(0x54f15367) +#if !defined(PULP) .word(0x2520e58b) +#endif .word(0x40306567) .word(0xc5c4116b) .word(0x710907af) @@ -31384,11 +34252,15 @@ main: .word(0xa70c7bfb) .word(0xfe1eb49b) .word(0x59779807) +#if !defined(PULP) .word(0x4d0df70b) +#endif .word(0xd8589e2f) .word(0x98e938d3) .word(0x132f3e8b) +#if !defined(PULP) .word(0x287190fb) +#endif .word(0xce93fed3) .word(0xd7ae5fd7) .word(0xfb6cddb3) @@ -31398,7 +34270,9 @@ main: .word(0x2500b583) .word(0x9e0d3157) .word(0x5c676a2b) +#if !defined(PULP) .word(0x1ceb798b) +#endif .word(0x43ad5e3b) .word(0x7ef63ccf) .word(0xe61e4ccb) @@ -31446,7 +34320,9 @@ main: .word(0x86d840af) .word(0x68319853) .word(0x672c7eb3) +#if !defined(PULP) .word(0x6f3b255b) +#endif .word(0xd4ec1efb) .word(0xed396f2b) .word(0x2e31eeaf) @@ -31494,7 +34370,9 @@ main: .word(0x3f877233) .word(0x9bcb502f) .word(0x8e471af7) +#if !defined(PULP) .word(0x6beb3adb) +#endif .word(0xc17f6b6b) .word(0xe93e5b57) .word(0x8a34876b) @@ -31544,7 +34422,9 @@ main: .word(0x5ed3b257) .word(0x6802c533) .word(0xe5511a87) +#if !defined(PULP) .word(0xb8d3b87b) +#endif .word(0xc02a1e87) .word(0x46c6e007) .word(0x7cc50e07) @@ -31589,13 +34469,17 @@ main: .word(0x995225af) .word(0x91df8407) .word(0xea5d5df7) +#if !defined(PULP) .word(0x54d80e7b) +#endif .word(0x440f0887) .word(0xed8c4123) .word(0xee7de6cf) .word(0xd754e7cf) .word(0x9fa561cf) +#if !defined(PULP) .word(0xa8b1f68b) +#endif .word(0xd211d353) .word(0x168e5bc3) .word(0x32eedd6b) @@ -31611,7 +34495,9 @@ main: .word(0x5fb6bacf) .word(0x9cb91c6b) .word(0xc37f5bbb) +#if !defined(PULP) .word(0xb8ec28fb) +#endif .word(0x9f4c442b) .word(0x970b9343) .word(0x6e96c973) @@ -31624,7 +34510,9 @@ main: .word(0x81606f1b) .word(0x996e112f) .word(0x00404ef3) +#if !defined(PULP) .word(0x7107e60b) +#endif .word(0x06b4f2c7) .word(0x4ac5203b) .word(0xaee7f047) @@ -31649,7 +34537,9 @@ main: .word(0x5125faeb) .word(0x95a23657) .word(0x24400f9b) +#if !defined(PULP) .word(0x370f688b) +#endif .word(0x6d4c7ed3) .word(0x4e35a8cb) .word(0xb75471af) @@ -31657,7 +34547,9 @@ main: .word(0xe9ca2753) .word(0x76730ff3) .word(0xd4dea89b) +#if !defined(PULP) .word(0xf1f7708b) +#endif .word(0x7dc56f67) .word(0xf692cd53) .word(0x97064487) @@ -31703,7 +34595,9 @@ main: .word(0x96f4eb6b) .word(0x55ca5507) .word(0x1fbf3f77) +#if !defined(PULP) .word(0x2c6fee0b) +#endif .word(0x557797d7) .word(0x0b3cf2eb) .word(0xee28b33b) @@ -31725,7 +34619,9 @@ main: .word(0x78d8d7ab) .word(0x6c0d2667) .word(0x5745a5af) +#if !defined(PULP) .word(0xb9123d7b) +#endif .word(0x6d9600fb) .word(0xd545a33b) .word(0xa6d2d61b) @@ -31740,7 +34636,9 @@ main: .word(0x0ed39f67) .word(0x2716bd6b) .word(0x03de0d07) +#if !defined(PULP) .word(0x675eaddb) +#endif .word(0xf601e4cf) .word(0xd892fed7) .word(0xc104dd67) @@ -31766,7 +34664,9 @@ main: .word(0x5b2a30af) .word(0x6f0a3a23) .word(0x756ba377) +#if !defined(PULP) .word(0x263971fb) +#endif .word(0xcd78d2bb) .word(0xa9053253) .word(0xaa471dd7) @@ -31800,7 +34700,9 @@ main: .word(0xdbc9342f) .word(0xca14be77) .word(0x521a30bb) +#if !defined(PULP) .word(0x01ce3aab) +#endif .word(0x3d1ea0f7) .word(0x3921a277) .word(0x4738f4e7) @@ -31827,19 +34729,25 @@ main: .word(0x0581bd7b) .word(0xacd25cbb) .word(0x94e22bfb) +#if !defined(PULP) .word(0x5dc9ac5b) +#endif .word(0x41821187) .word(0xf47fe2fb) .word(0x200710eb) .word(0x967712fb) .word(0x97bf066b) +#if !defined(PULP) .word(0x544c2efb) +#endif .word(0x86bc3ac7) .word(0x84d2da77) .word(0xbb233bbb) .word(0x25163b1b) .word(0x12cc05fb) +#if !defined(PULP) .word(0x24d0352b) +#endif .word(0xc513bb2b) .word(0x225d291b) .word(0x7aeddd77) @@ -31847,20 +34755,28 @@ main: .word(0x72a9d2f7) .word(0x32fca7e7) .word(0xa40dd91b) +#if !defined(PULP) .word(0xe0f922db) +#endif .word(0x31b51e77) .word(0xc443dad7) .word(0xe483c887) .word(0x16a77e43) .word(0x0cc18d77) +#if !defined(PULP) .word(0xd09e6e8b) +#endif .word(0x1d9bc2e7) .word(0xfaffd457) +#if !defined(PULP) .word(0xc0e5117b) +#endif .word(0x6eb139ab) .word(0x50ba46d3) .word(0xcfe56c57) +#if !defined(PULP) .word(0x22b2648b) +#endif .word(0x59274767) .word(0xf9480df7) .word(0xaecb0faf) @@ -31875,20 +34791,28 @@ main: .word(0x31e5f553) .word(0xa0d30827) .word(0x10f5d887) +#if !defined(PULP) .word(0xe5d3bbdb) +#endif .word(0x0b0d5e33) .word(0x5cfdfea3) .word(0x1ddf233b) +#if !defined(PULP) .word(0x638b708b) +#endif .word(0x687b869b) .word(0x33d94fa7) +#if !defined(PULP) .word(0x91a59ffb) +#endif .word(0x6e91d1cf) .word(0x5ef9a277) .word(0xe997f3bb) .word(0x282c5ca7) .word(0x11464f73) +#if !defined(PULP) .word(0x9b6d707b) +#endif .word(0x6f27f16b) .word(0xfa9a3f77) .word(0xa34eff77) @@ -31897,7 +34821,9 @@ main: .word(0x9755b383) .word(0xb640faaf) .word(0x324a0f27) +#if !defined(PULP) .word(0x49f5f18b) +#endif .word(0xb25af567) .word(0xf70cfa4f) .word(0x8e14b9d3) @@ -31920,7 +34846,9 @@ main: .word(0x73ffdf3b) .word(0x107ac51b) .word(0x6854929b) +#if !defined(PULP) .word(0x48c086fb) +#endif .word(0x94508857) .word(0xe4fab91b) .word(0x70f37b27) @@ -31936,10 +34864,14 @@ main: .word(0xde916d77) .word(0x0fd2ef07) .word(0x7adbd293) +#if !defined(PULP) .word(0x11966c7b) +#endif .word(0x0d045ceb) .word(0x88856a2b) +#if !defined(PULP) .word(0x0c1317fb) +#endif .word(0xad36cb77) .word(0xcd76501b) .word(0xfaee4907) @@ -31961,10 +34893,14 @@ main: .word(0x066995cb) .word(0x633772ab) .word(0xc51e92eb) +#if !defined(PULP) .word(0xafd6c7ab) +#endif .word(0xabef986b) .word(0x2325c667) +#if !defined(PULP) .word(0xb2927f8b) +#endif .word(0x9ca0401b) .word(0x6a690d27) .word(0x02c82dbb) @@ -31973,8 +34909,12 @@ main: .word(0x3aeea977) .word(0x801481bb) .word(0x0e1a2743) +#if !defined(PULP) .word(0xd92824db) +#endif +#if !defined(PULP) .word(0x181d3cab) +#endif .word(0x52408ed3) .word(0x31049d2f) .word(0x462d974b) @@ -31986,7 +34926,9 @@ main: .word(0x8270892f) .word(0xc513db93) .word(0xe8acdc07) +#if !defined(PULP) .word(0x041e677b) +#endif .word(0xc13b8177) .word(0x4f1abdaf) .word(0x564fbe4b) @@ -31995,7 +34937,9 @@ main: .word(0x774dd79b) .word(0x10e27d87) .word(0x3df81cbb) +#if !defined(PULP) .word(0x51c699fb) +#endif .word(0x0bf1016b) .word(0x7dd8f507) .word(0x4d189827) @@ -32040,7 +34984,9 @@ main: .word(0x377a7b6b) .word(0x7dc0e553) .word(0x4a08edd3) +#if !defined(PULP) .word(0xba9b630b) +#endif .word(0x853ed0af) .word(0x1d5f1f3b) .word(0xce3c1753) @@ -32048,7 +34994,9 @@ main: .word(0x25803f77) .word(0x2ff6b567) .word(0x23d2f71b) +#if !defined(PULP) .word(0x6059738b) +#endif .word(0x5e60f62f) .word(0x680b402b) .word(0x5c90d6d3) @@ -32060,7 +35008,9 @@ main: .word(0x6eebcdf7) .word(0xa9bf7d87) .word(0xf00f5193) +#if !defined(PULP) .word(0x5469640b) +#endif .word(0xbd9a0abb) .word(0xa691a147) .word(0xb4a4f9a3) @@ -32072,7 +35022,9 @@ main: .word(0xf45dfd57) .word(0xcfbb27eb) .word(0xbdaae6fb) +#if !defined(PULP) .word(0x6421027b) +#endif .word(0xc4ade157) .word(0x4507d53b) .word(0x89d61b6b) @@ -32112,7 +35064,9 @@ main: .word(0xabb3482f) .word(0xdb3fd8ab) .word(0x116fb323) +#if !defined(PULP) .word(0x20edeefb) +#endif .word(0x5f192de7) .word(0xaea43f57) .word(0x8e2b8f47) @@ -32120,7 +35074,9 @@ main: .word(0x115bd49b) .word(0x2234f523) .word(0xf34e2477) +#if !defined(PULP) .word(0x83d0e3fb) +#endif .word(0x49c18e3b) .word(0x6aa79ea7) .word(0x4500b353) @@ -32137,7 +35093,9 @@ main: .word(0x7acd9253) .word(0xa0dddda7) .word(0x80fa47bb) +#if !defined(PULP) .word(0x39f4b22b) +#endif .word(0x95009d6b) .word(0x6cbd65bb) .word(0x55801d57) @@ -32145,7 +35103,9 @@ main: .word(0xbf56f89b) .word(0x47088d47) .word(0xaf68141b) +#if !defined(PULP) .word(0x19a5f57b) +#endif .word(0x9df9c077) .word(0x01e7f63b) .word(0xdd84e1af) @@ -32172,7 +35132,9 @@ main: .word(0xa34bb3d3) .word(0xdfa026cb) .word(0x9e56e6d3) +#if !defined(PULP) .word(0x5e6ba95b) +#endif .word(0x21ebbdb3) .word(0x7e728a9b) .word(0x0ee3c8af) @@ -32180,7 +35142,9 @@ main: .word(0x5bcd66b3) .word(0xc7dc7247) .word(0x834801eb) +#if !defined(PULP) .word(0xd09ba55b) +#endif .word(0xc6b1e8cb) .word(0x4c769a93) .word(0x76d53e43) @@ -32208,7 +35172,9 @@ main: .word(0x858b583b) .word(0xe69b3bf7) .word(0xcb438d53) +#if !defined(PULP) .word(0xfda628db) +#endif .word(0x7e59fbf7) .word(0x3e0e9dd7) .word(0x3fd44d6b) @@ -32246,13 +35212,19 @@ main: .word(0x1d6bea27) .word(0x5878d653) .word(0xcca7c287) +#if !defined(PULP) .word(0x11ef107b) +#endif .word(0xf4535d57) .word(0x0c23eb6b) .word(0x2cfd4c2f) +#if !defined(PULP) .word(0x5e37b35b) +#endif .word(0x682d77a7) +#if !defined(PULP) .word(0x048318fb) +#endif .word(0xc71568cf) .word(0xaeea669b) .word(0x1859d4f7) @@ -32290,7 +35262,9 @@ main: .word(0xe8bfe0fb) .word(0xe7e96acf) .word(0xc539e353) +#if !defined(PULP) .word(0xfda2ba5b) +#endif .word(0xe5345813) .word(0xc1f7dba7) .word(0xb46e1f77) @@ -32325,7 +35299,9 @@ main: .word(0x6dc507af) .word(0x7abdfd67) .word(0xeedbc307) +#if !defined(PULP) .word(0x569c27fb) +#endif .word(0xf8f840ab) .word(0xd40eba2b) .word(0x3f63bb0b) @@ -32395,7 +35371,9 @@ main: .word(0x26d4bf9b) .word(0x3876b92f) .word(0xb5cffaa7) +#if !defined(PULP) .word(0xea712cdb) +#endif .word(0xf006f17b) .word(0x477a0e27) .word(0x75a95227) @@ -32405,7 +35383,9 @@ main: .word(0xf5460307) .word(0xf1ccc63b) .word(0x21fc343b) +#if !defined(PULP) .word(0x81ea382b) +#endif .word(0xcf3b5c2b) .word(0x5418ea07) .word(0xf7fe1f93) @@ -32424,7 +35404,9 @@ main: .word(0xc5f47f9b) .word(0xb3f96067) .word(0x37a8d007) +#if !defined(PULP) .word(0x2763e97b) +#endif .word(0xe1028fa7) .word(0xf7ece5eb) .word(0xbce27ad7) @@ -32448,7 +35430,9 @@ main: .word(0x1e4f9607) .word(0x6c1adea3) .word(0x01136687) +#if !defined(PULP) .word(0x805af87b) +#endif .word(0x4c721567) .word(0xc525e6a7) .word(0x91ddb7fb) @@ -32482,7 +35466,9 @@ main: .word(0x719a1e77) .word(0xb417c323) .word(0x5a2dfd67) +#if !defined(PULP) .word(0x8e19750b) +#endif .word(0x88cde827) .word(0xf23975b3) .word(0x64abd7ab) @@ -32509,18 +35495,24 @@ main: .word(0xe7776a87) .word(0xf7c178d7) .word(0x666a3983) +#if !defined(PULP) .word(0xfc79630b) +#endif .word(0xde4d6c6b) .word(0x93855b93) .word(0x379445f7) .word(0xb5af0f3b) .word(0xc8d5b953) .word(0x973bab4b) +#if !defined(PULP) .word(0x5c74fe0b) +#endif .word(0xa77b592b) .word(0xfda456eb) .word(0xf2cdac53) +#if !defined(PULP) .word(0x03b8f90b) +#endif .word(0xbcbbecbb) .word(0xc352b20b) .word(0xa6c5d747) @@ -32533,7 +35525,9 @@ main: .word(0xb3fad4bb) .word(0x37c45067) .word(0x2e161607) +#if !defined(PULP) .word(0x929d620b) +#endif .word(0xb8d2991b) .word(0x8f9c9aeb) .word(0xa73671eb) @@ -32709,11 +35703,15 @@ main: .word(0xbfc457ab) .word(0x4e0bcac7) .word(0xd9ec89fb) +#if !defined(PULP) .word(0xf9d697fb) +#endif .word(0x76d38d4b) .word(0xc66a6407) .word(0x90d326af) +#if !defined(PULP) .word(0x946ce18b) +#endif .word(0x1e4dcfb3) .word(0x1f7d48af) .word(0x26feacf7) @@ -32763,7 +35761,9 @@ main: .word(0x567ad5d3) .word(0xf4d31aeb) .word(0x8e8f3a43) +#if !defined(PULP) .word(0x01839a7b) +#endif .word(0x73467ceb) .word(0x0097741b) .word(0x4d33606b) @@ -32813,11 +35813,17 @@ main: .word(0x4b33ec07) .word(0x863c8dc3) .word(0xbf2b5c43) +#if !defined(PULP) .word(0x392deafb) +#endif +#if !defined(PULP) .word(0xf27a887b) +#endif .word(0x0b9a8cb3) .word(0x0e4331bb) +#if !defined(PULP) .word(0x64e76e0b) +#endif .word(0x45cb55bb) .word(0xa31ee5ab) .word(0x2414390b) @@ -32869,7 +35875,9 @@ main: .word(0xdf28234b) .word(0xc9c904d3) .word(0x3b58e567) +#if !defined(PULP) .word(0xc7c3e70b) +#endif .word(0xc8dd852f) .word(0x2f287187) .word(0xc17dc407) @@ -32882,7 +35890,9 @@ main: .word(0x732bafeb) .word(0x23e0dabb) .word(0xcdb6a21b) +#if !defined(PULP) .word(0xe66cbfdb) +#endif .word(0xdd43c767) .word(0x79041357) .word(0x67249e77) @@ -32937,18 +35947,26 @@ main: .word(0x73fc7483) .word(0x768377eb) .word(0x7625612b) +#if !defined(PULP) .word(0x0b7e7a7b) +#endif +#if !defined(PULP) .word(0x5ebebddb) +#endif .word(0x8c719677) .word(0x4f71622f) +#if !defined(PULP) .word(0xc19b0c7b) +#endif .word(0xc6b26f67) .word(0x6789c2c3) .word(0x4a96d7af) .word(0xf6d64b53) .word(0xfa2e74f7) .word(0x7c7cc3ab) +#if !defined(PULP) .word(0xa7dfe88b) +#endif .word(0x1ff3dd53) .word(0x5cd730e7) .word(0xce874843) @@ -32959,7 +35977,9 @@ main: .word(0xa60f64cb) .word(0x4149e7f7) .word(0x75471c53) +#if !defined(PULP) .word(0x0ec3effb) +#endif .word(0x4e72ccab) .word(0x5c44d5ab) .word(0x0a264ee7) @@ -32971,9 +35991,13 @@ main: .word(0xf60157f7) .word(0x5f0221c3) .word(0x025bd23b) +#if !defined(PULP) .word(0xe747608b) +#endif .word(0xb04cf3e7) +#if !defined(PULP) .word(0x79cd7e0b) +#endif .word(0x126cb60b) .word(0xb03379d3) .word(0x85403d6b) @@ -33006,7 +36030,9 @@ main: .word(0xb97efc83) .word(0x2ab7d0a7) .word(0xd3cb4587) +#if !defined(PULP) .word(0xc9c77e0b) +#endif .word(0x6b2cd677) .word(0x4cccb00b) .word(0x4b28dd33) @@ -33023,7 +36049,9 @@ main: .word(0xb4a7ce3b) .word(0xb4bb91d7) .word(0xee15a9af) +#if !defined(PULP) .word(0x13a5e2fb) +#endif .word(0x67b43247) .word(0x066fe853) .word(0x58e61913) @@ -33084,7 +36112,9 @@ main: .word(0x5dc6ca07) .word(0x6338b90b) .word(0x317efaab) +#if !defined(PULP) .word(0x18d6f47b) +#endif .word(0x226cc7e7) .word(0x3aa6809b) .word(0x1c551a1b) @@ -33093,9 +36123,13 @@ main: .word(0x91abad1b) .word(0x81c8db27) .word(0x06142ff7) +#if !defined(PULP) .word(0x1e84f28b) +#endif .word(0xfcf067eb) +#if !defined(PULP) .word(0x0bc6757b) +#endif .word(0x79457f07) .word(0x76f26387) .word(0x488b782b) @@ -33130,7 +36164,9 @@ main: .word(0x3b1066ab) .word(0x0fdf23c3) .word(0xf4f1c3a7) +#if !defined(PULP) .word(0x44a36c0b) +#endif .word(0x47f05a2f) .word(0xd76540d3) .word(0x85348277) @@ -33151,7 +36187,9 @@ main: .word(0x67b8fa07) .word(0xbb995bb3) .word(0xef4818f7) +#if !defined(PULP) .word(0x0b087f8b) +#endif .word(0x960747d3) .word(0x949a42b3) .word(0x6bd130bb) @@ -33172,7 +36210,9 @@ main: .word(0x8aba53eb) .word(0xc3106607) .word(0xc9bb83f3) +#if !defined(PULP) .word(0x601d2ddb) +#endif .word(0x2f6a934b) .word(0x5e9ac42b) .word(0xbd6fa1bb) @@ -33254,7 +36294,9 @@ main: .word(0x78dc6bb3) .word(0x979ec253) .word(0x4532ce33) +#if !defined(PULP) .word(0x7194372b) +#endif .word(0x5e2b384f) .word(0xbea1394b) .word(0x8bc2196b) @@ -33262,7 +36304,9 @@ main: .word(0x71032a9b) .word(0xa31b1d7b) .word(0xafbaf7c3) +#if !defined(PULP) .word(0xacf4fa0b) +#endif .word(0x78b54af3) .word(0x0f35e5e7) .word(0x9a4caf6b) @@ -33295,7 +36339,9 @@ main: .word(0x4f42d453) .word(0x58f6df2b) .word(0x50d63577) +#if !defined(PULP) .word(0xfb1d462b) +#endif .word(0x646ab72f) .word(0x91135b1b) .word(0x15d1cdf7) @@ -33320,7 +36366,9 @@ main: .word(0x06d6ee9b) .word(0x558db533) .word(0x579cf96b) +#if !defined(PULP) .word(0x90cf8b7b) +#endif .word(0xab03259b) .word(0x7dfdbb67) .word(0xdf0c033b) @@ -33381,7 +36429,9 @@ main: .word(0xd85e431b) .word(0x4fe3c4d3) .word(0x37e497f7) +#if !defined(PULP) .word(0x5916b1ab) +#endif .word(0x661799d3) .word(0x2459516b) .word(0x070f6e07) @@ -33404,7 +36454,9 @@ main: .word(0x16ab5fa3) .word(0x5efe0ecf) .word(0x76659967) +#if !defined(PULP) .word(0x74886a8b) +#endif .word(0x111f5a87) .word(0xa4c119bb) .word(0x12974ff3) @@ -33427,7 +36479,9 @@ main: .word(0x2f13b58b) .word(0x7f7cd643) .word(0xa1074a77) +#if !defined(PULP) .word(0xc6e06c0b) +#endif .word(0x23a2fc33) .word(0xe0534f87) .word(0x5a51652b) @@ -33444,13 +36498,19 @@ main: .word(0x4d264307) .word(0xd5263dd3) .word(0x7e0d70cb) +#if !defined(PULP) .word(0x6638650b) +#endif .word(0x6264239b) .word(0x5a9e03bb) +#if !defined(PULP) .word(0x5074807b) +#endif .word(0x5e804b57) .word(0x45a7a66b) +#if !defined(PULP) .word(0x8fa8ee0b) +#endif .word(0x781143d3) .word(0x8775d867) .word(0xbf3f6c77) @@ -33471,7 +36531,9 @@ main: .word(0xc85a3eab) .word(0x591dddf7) .word(0x72913a3b) +#if !defined(PULP) .word(0x0f41e6fb) +#endif .word(0x74d82eb3) .word(0xedcc946b) .word(0xf77b5cb3) @@ -33479,7 +36541,9 @@ main: .word(0xbf4021cb) .word(0x9cf1bd0b) .word(0xe37a6e1b) +#if !defined(PULP) .word(0x0510697b) +#endif .word(0x65de70d7) .word(0x2e5268c7) .word(0x307718a7) @@ -33492,8 +36556,12 @@ main: .word(0x6c3dedaf) .word(0xc74cdd67) .word(0xd6e8986b) +#if !defined(PULP) .word(0xfee7b2db) +#endif +#if !defined(PULP) .word(0xb900680b) +#endif .word(0x7f0b3157) .word(0x971432e7) .word(0xb6767947) @@ -33506,28 +36574,36 @@ main: .word(0xaa2a1f07) .word(0xe28ad2ab) .word(0xcdc369d3) +#if !defined(PULP) .word(0xfaaa678b) +#endif .word(0x220fc9d3) .word(0x54d153bb) .word(0x84d4ade7) .word(0xc9cc393b) .word(0xe96d6e6b) .word(0xb77124fb) +#if !defined(PULP) .word(0x78fdec8b) +#endif .word(0xec2d0207) .word(0x95aa9bfb) .word(0x7f45b73b) .word(0x6401da6b) .word(0x3ebe68eb) .word(0xcec580fb) +#if !defined(PULP) .word(0x196ae0fb) +#endif .word(0x9f1c114b) .word(0x110db08b) .word(0x57eb31d3) .word(0x613a7033) .word(0x83045a1b) .word(0x609993d3) +#if !defined(PULP) .word(0x22bae58b) +#endif .word(0x6bc0e233) .word(0x2bd86feb) .word(0xcd3cb003) @@ -33548,11 +36624,17 @@ main: .word(0xf605d4af) .word(0xebb09ee7) .word(0xe764ef2f) +#if !defined(PULP) .word(0x27b3e98b) +#endif +#if !defined(PULP) .word(0x489eaadb) +#endif .word(0x4648c687) .word(0x1cb5b7f7) +#if !defined(PULP) .word(0x5762647b) +#endif .word(0x3f8247a7) .word(0x9de892bb) .word(0xc688806b) @@ -33576,7 +36658,9 @@ main: .word(0x97b5cf33) .word(0xb456872f) .word(0x88ee33e7) +#if !defined(PULP) .word(0x65f3e5fb) +#endif .word(0x5fbe634f) .word(0xc3ac87d3) .word(0x4a58baa3) @@ -33600,7 +36684,9 @@ main: .word(0xdcb400a7) .word(0xb5aaa433) .word(0xb12e6887) +#if !defined(PULP) .word(0xd0946b8b) +#endif .word(0x3edbc0d7) .word(0x07d9d127) .word(0x449be3b3) @@ -33651,7 +36737,9 @@ main: .word(0xdad320af) .word(0xd3e4e1eb) .word(0xa8d6499b) +#if !defined(PULP) .word(0x53483e2b) +#endif .word(0xa3ad7533) .word(0xbe73654b) .word(0x4c51f283) @@ -33725,21 +36813,29 @@ main: .word(0x4bc9deeb) .word(0x622d52d3) .word(0x94927f6b) +#if !defined(PULP) .word(0x2d0d322b) +#endif .word(0x125a1f33) .word(0x32e19787) +#if !defined(PULP) .word(0x42d92ddb) +#endif .word(0x6d72fad3) .word(0x989e3e1b) .word(0xa02bd353) .word(0x82560c3b) .word(0x7f2fb4cf) .word(0x1834ff23) +#if !defined(PULP) .word(0x381e96fb) +#endif .word(0x60df4b1b) .word(0x9a13dc1b) .word(0xf1e40b73) +#if !defined(PULP) .word(0xb85b1efb) +#endif .word(0x7ecccccf) .word(0x65a1df33) .word(0xf5660587) @@ -33761,7 +36857,9 @@ main: .word(0xaf27a957) .word(0x074d99a7) .word(0x36f00e3b) +#if !defined(PULP) .word(0x7ea230db) +#endif .word(0x0b6e1307) .word(0x8d04cc6b) .word(0xb4d13bd3) @@ -33770,7 +36868,9 @@ main: .word(0x14fde29b) .word(0xfca31787) .word(0x090dc977) +#if !defined(PULP) .word(0x42b77dfb) +#endif .word(0x5c83076b) .word(0xde207a77) .word(0xf211c3d3) @@ -33784,7 +36884,9 @@ main: .word(0x2a824277) .word(0x7a2a4d6b) .word(0x73597d87) +#if !defined(PULP) .word(0x44ee14fb) +#endif .word(0x641d3f8b) .word(0xb27e53d7) .word(0xcdefd377) @@ -33821,7 +36923,9 @@ main: .word(0x6e9cc71b) .word(0xb5192bd7) .word(0x77a5da2f) +#if !defined(PULP) .word(0xdb8778fb) +#endif .word(0x81bbc8ab) .word(0x51124cf3) .word(0xc6fc20c3) @@ -33882,14 +36986,20 @@ main: .word(0xac7408a7) .word(0xaee94153) .word(0x06b14657) +#if !defined(PULP) .word(0xb83e648b) +#endif .word(0x5b61baa3) .word(0xc170e6e7) .word(0x49138077) +#if !defined(PULP) .word(0xb808b5fb) +#endif .word(0x914659f7) .word(0x14af859b) +#if !defined(PULP) .word(0x7074e58b) +#endif .word(0x188bc9e7) .word(0x84b341ab) .word(0xedead32b) @@ -33917,7 +37027,9 @@ main: .word(0x5117e707) .word(0xbfe9b9cb) .word(0x2b55d3d7) +#if !defined(PULP) .word(0xeb9ca1db) +#endif .word(0x78b896d7) .word(0x25e10007) .word(0x155e5a67) @@ -33939,7 +37051,9 @@ main: .word(0x1c73f383) .word(0xff6dc72f) .word(0x248b0a87) +#if !defined(PULP) .word(0xe0fe8afb) +#endif .word(0x5cccfe33) .word(0xea54252f) .word(0x9c2d54e7) @@ -33958,18 +37072,24 @@ main: .word(0x143536af) .word(0x8b5c6b9b) .word(0xc000dfe7) +#if !defined(PULP) .word(0xb6afef8b) +#endif .word(0xbe146467) .word(0x58b9bd1b) .word(0x24eddb6b) .word(0x652a8973) +#if !defined(PULP) .word(0x3589f8fb) +#endif .word(0x22eb46f3) .word(0x39660cbb) .word(0xa9fa44a7) .word(0xfcc09a87) .word(0x87250377) +#if !defined(PULP) .word(0xcbe2aadb) +#endif .word(0xce389293) .word(0xf0c07533) .word(0xa3a6af6b) @@ -33995,17 +37115,23 @@ main: .word(0x28f03ed3) .word(0x726cc173) .word(0xe2795db3) +#if !defined(PULP) .word(0x61017c0b) +#endif .word(0x74d91d13) .word(0x1d9b2cbb) .word(0xbc633d2b) .word(0xbb82af53) .word(0xdcfeee07) .word(0xffef584b) +#if !defined(PULP) .word(0xe282ba5b) +#endif .word(0x0a40626b) .word(0xccf79193) +#if !defined(PULP) .word(0xcf3d265b) +#endif .word(0x96e660a7) .word(0x67585957) .word(0x76f57f27) @@ -34088,12 +37214,16 @@ main: .word(0xb554346b) .word(0xb85fec07) .word(0x1f0df067) +#if !defined(PULP) .word(0xf8f77a8b) +#endif .word(0x855b6227) .word(0xa6d7799b) .word(0xe0596baf) .word(0xf9a9c82f) +#if !defined(PULP) .word(0xd03e3adb) +#endif .word(0xfa63fd6b) .word(0x537c326b) .word(0x23b5e6f7) @@ -34136,7 +37266,9 @@ main: .word(0x2c43c277) .word(0x3efaaf2f) .word(0xfc9c0eeb) +#if !defined(PULP) .word(0x62a4f40b) +#endif .word(0x26abe3af) .word(0x4f24b20b) .word(0x24077a83) @@ -34153,7 +37285,9 @@ main: .word(0x6e26631b) .word(0x6ed53067) .word(0x676b7a33) +#if !defined(PULP) .word(0x6d1947ab) +#endif .word(0xfd495023) .word(0x14cd6aa7) .word(0x67d6b42b) @@ -34168,8 +37302,12 @@ main: .word(0xfbb045f7) .word(0x9efe57eb) .word(0x54e61feb) +#if !defined(PULP) .word(0x5ab1aadb) +#endif +#if !defined(PULP) .word(0xcbe3f6fb) +#endif .word(0xa1f598a7) .word(0xe7d92c77) .word(0x951daf53) @@ -34217,7 +37355,9 @@ main: .word(0x6a448e73) .word(0x5ea3ecf7) .word(0xd533232f) +#if !defined(PULP) .word(0xf4c3a45b) +#endif .word(0x8dd56507) .word(0xf62ffdd7) .word(0x3320fdbb) @@ -34241,7 +37381,9 @@ main: .word(0x96063fd3) .word(0x892a036b) .word(0xc2424f73) +#if !defined(PULP) .word(0xeb63295b) +#endif .word(0xb4f7e9af) .word(0xaa98f867) .word(0x6fe1bda3) @@ -34267,7 +37409,9 @@ main: .word(0x59f8fa2f) .word(0xbf208a4b) .word(0xeebce1cf) +#if !defined(PULP) .word(0x8309eefb) +#endif .word(0x69fc01f7) .word(0x402e9893) .word(0xd6e8e8af) @@ -34285,8 +37429,12 @@ main: .word(0x3dc6f8af) .word(0x55cbfc83) .word(0x1aaae9a7) +#if !defined(PULP) .word(0x1311fe8b) +#endif +#if !defined(PULP) .word(0x7079285b) +#endif .word(0x5986a1eb) .word(0x081514af) .word(0x551ff633) @@ -34296,7 +37444,9 @@ main: .word(0x6a205577) .word(0x5e432fd3) .word(0x19377327) +#if !defined(PULP) .word(0x52746dfb) +#endif .word(0x6cbc10e7) .word(0xe4975687) .word(0x0c640927) @@ -34324,7 +37474,9 @@ main: .word(0x64287857) .word(0x8d0e792f) .word(0x923b08bb) +#if !defined(PULP) .word(0xcace335b) +#endif .word(0x2d577827) .word(0x377825af) .word(0x5e6bfed3) @@ -34337,7 +37489,9 @@ main: .word(0xff18b21b) .word(0x0c051abb) .word(0x55c7212f) +#if !defined(PULP) .word(0x4343740b) +#endif .word(0x899b4daf) .word(0x957c14d7) .word(0x6c923057) @@ -34345,7 +37499,9 @@ main: .word(0x5c4652b3) .word(0xa6101b4b) .word(0x3104c133) +#if !defined(PULP) .word(0xc8fcf90b) +#endif .word(0x466059eb) .word(0x0600d467) .word(0x479c8133) @@ -34456,12 +37612,16 @@ main: .word(0x076f0acb) .word(0x150059bb) .word(0x4f182843) +#if !defined(PULP) .word(0xff08e90b) +#endif .word(0x4670ed2f) .word(0x727af633) .word(0x438a432f) .word(0xabe6113b) +#if !defined(PULP) .word(0xda82bf5b) +#endif .word(0xe7afb6ab) .word(0xd3ddf757) .word(0xf07afb2f) @@ -34477,7 +37637,9 @@ main: .word(0x6cb23a33) .word(0x89f2d2b3) .word(0x89310a27) +#if !defined(PULP) .word(0x55ffa8db) +#endif .word(0x6e7f90f7) .word(0xe3fa2deb) .word(0xa2f2d067) @@ -34488,12 +37650,16 @@ main: .word(0xcf34cc4b) .word(0xe6b5d633) .word(0x428644d3) +#if !defined(PULP) .word(0x27a1fc8b) +#endif .word(0x9629b6e7) .word(0x3ae4d9bb) .word(0xb26996f7) .word(0xbca358ab) +#if !defined(PULP) .word(0x6020e78b) +#endif .word(0x3f78b5ab) .word(0xdcca7703) .word(0x933cdc27) @@ -34504,7 +37670,9 @@ main: .word(0x4dc20c07) .word(0xfece7e7b) .word(0xb9c35d2b) +#if !defined(PULP) .word(0x0d7ab6ab) +#endif .word(0xbedbc167) .word(0x563db283) .word(0xa0e53b83) @@ -34590,11 +37758,15 @@ main: .word(0xcb491727) .word(0x16058c7b) .word(0x19e41313) +#if !defined(PULP) .word(0xf2a4f58b) +#endif .word(0xe64ffa43) .word(0x5f428e47) .word(0x6ad0e627) +#if !defined(PULP) .word(0x022f6e8b) +#endif .word(0x900ccc6b) .word(0xe921a7e7) .word(0x50f4daf7) @@ -34620,7 +37792,9 @@ main: .word(0x399b4ff3) .word(0x6949cd33) .word(0x1a75d8b3) +#if !defined(PULP) .word(0x9b8ac6ab) +#endif .word(0xaf6d7457) .word(0x0df73b77) .word(0x5d01f4ab) @@ -34628,7 +37802,9 @@ main: .word(0xf607cacf) .word(0x8505776b) .word(0xa17544a7) +#if !defined(PULP) .word(0x515d35db) +#endif .word(0xb9c9c8e7) .word(0xf8bfbe2b) .word(0x3db470e7) @@ -34661,19 +37837,25 @@ main: .word(0xb00c45ab) .word(0x1eca3e9b) .word(0x974d371b) +#if !defined(PULP) .word(0x940bff0b) +#endif .word(0x02689ff7) .word(0x4c82a9b3) .word(0x62b4bb77) .word(0x14996da7) .word(0xe1f40a57) +#if !defined(PULP) .word(0xc0de057b) +#endif .word(0x43a4de77) .word(0x6e5b8457) .word(0xaa70a853) .word(0x0ec20f43) .word(0xa2fa873b) +#if !defined(PULP) .word(0x0beff78b) +#endif .word(0xd7e0a09b) .word(0xd8c3b5eb) .word(0x9c86b68b) @@ -34755,7 +37937,9 @@ main: .word(0xc9f84a9b) .word(0x73bf3203) .word(0x8e73f103) +#if !defined(PULP) .word(0x12e9b62b) +#endif .word(0xe0f94ad7) .word(0x5b0914fb) .word(0x4a25e8ab) @@ -34774,7 +37958,9 @@ main: .word(0x435a35b3) .word(0x07083e83) .word(0xb81bc83b) +#if !defined(PULP) .word(0x41f3757b) +#endif .word(0x6ff425cf) .word(0xfe03d11b) .word(0xb59377d3) @@ -34786,7 +37972,9 @@ main: .word(0xac6f96a7) .word(0xa7d026cb) .word(0xe57404a7) +#if !defined(PULP) .word(0x6e81fb0b) +#endif .word(0x2aed23e7) .word(0x772010cf) .word(0x5e7b3177) @@ -34816,7 +38004,9 @@ main: .word(0xbf3ac643) .word(0x0ee1af53) .word(0x8dcde7f7) +#if !defined(PULP) .word(0x4f4e79fb) +#endif .word(0x31b381b3) .word(0x7cb3d6d3) .word(0xb8d5e3a3) @@ -34853,7 +38043,9 @@ main: .word(0x71a7027b) .word(0x9120f41b) .word(0x32cef16b) +#if !defined(PULP) .word(0xd2d9700b) +#endif .word(0x8c9db533) .word(0x2f3fbf9b) .word(0xf19824af) @@ -34863,7 +38055,9 @@ main: .word(0x599abaa3) .word(0x786b1bf7) .word(0x782f0bbb) +#if !defined(PULP) .word(0x81e7667b) +#endif .word(0x674634ab) .word(0xb013c5a3) .word(0xf56f7153) @@ -34913,9 +38107,13 @@ main: .word(0x926fe5af) .word(0xc596a97b) .word(0xeeea24d7) +#if !defined(PULP) .word(0xf3aeea0b) +#endif .word(0xf037552b) +#if !defined(PULP) .word(0xbe99730b) +#endif .word(0x83f746d7) .word(0x27c200b3) .word(0x8da6eaa3) @@ -34927,7 +38125,9 @@ main: .word(0x7743d82b) .word(0x9dfd402b) .word(0x5f954c6b) +#if !defined(PULP) .word(0x6d4ae58b) +#endif .word(0x8e06aa43) .word(0x704074a7) .word(0xa6b3d0d7) @@ -34984,14 +38184,18 @@ main: .word(0xb719a467) .word(0x18d5ea2f) .word(0xb20f47eb) +#if !defined(PULP) .word(0x2313e8fb) +#endif .word(0xe942d2a7) .word(0x235670a3) .word(0x13b1aa9b) .word(0xa6ba7047) .word(0x7fb0f5e7) .word(0x8e2d40a7) +#if !defined(PULP) .word(0x447deb0b) +#endif .word(0xdf534807) .word(0x476a81b3) .word(0x1c4344b3) @@ -35077,9 +38281,13 @@ main: .word(0x47e6be03) .word(0xc81c9d53) .word(0xb70d543b) +#if !defined(PULP) .word(0xaa9a757b) +#endif .word(0xf0488b27) +#if !defined(PULP) .word(0x803b7efb) +#endif .word(0xc0834d2f) .word(0xd840092f) .word(0x17705cab) @@ -35144,7 +38352,9 @@ main: .word(0x2aeadea7) .word(0x77d29a57) .word(0xc9d3291b) +#if !defined(PULP) .word(0xe057e10b) +#endif .word(0x08824bbb) .word(0x00408573) .word(0xe5c680fb) @@ -35163,14 +38373,18 @@ main: .word(0x99d06aeb) .word(0xc7ee66cf) .word(0xd4e85627) +#if !defined(PULP) .word(0x4d95f60b) +#endif .word(0x5f3d2bf7) .word(0x041d7a9b) .word(0x11ff80a7) .word(0x8c908cf7) .word(0x5e48b82b) .word(0x0482b18b) +#if !defined(PULP) .word(0x9b6afefb) +#endif .word(0x0830c2af) .word(0x72672a1b) .word(0x3c8b13a7) @@ -35185,7 +38399,9 @@ main: .word(0x5e39f107) .word(0xddf4973b) .word(0xee35fa7b) +#if !defined(PULP) .word(0x135a6b7b) +#endif .word(0x4f3fe0a3) .word(0xdfd563eb) .word(0xdf4fbce7) @@ -35225,7 +38441,9 @@ main: .word(0xb20aa657) .word(0x9caf38eb) .word(0x91ce7a67) +#if !defined(PULP) .word(0x5757ec8b) +#endif .word(0x295699af) .word(0xa6efe487) .word(0x46b3d407) @@ -35252,7 +38470,9 @@ main: .word(0x5d63249b) .word(0x570cf567) .word(0x990bcbeb) +#if !defined(PULP) .word(0x9ee0e30b) +#endif .word(0x2a93da2f) .word(0xdf7920fb) .word(0x03a28b3b) @@ -35281,12 +38501,16 @@ main: .word(0x45548beb) .word(0xc3f8d2a3) .word(0xd38068a7) +#if !defined(PULP) .word(0x938a7cfb) +#endif .word(0x7f7a37bb) .word(0xe5557f57) .word(0x73d94f1b) .word(0xde12c7a7) +#if !defined(PULP) .word(0x2a76b72b) +#endif .word(0x42789013) .word(0x38d8259b) .word(0x00ffce27) @@ -35391,7 +38615,9 @@ main: .word(0x388a15bb) .word(0xec904d67) .word(0x4be0de6b) +#if !defined(PULP) .word(0xc1906afb) +#endif .word(0x5c79a867) .word(0x9690681b) .word(0x0680d9cb) @@ -35409,7 +38635,9 @@ main: .word(0xe5b6eeb3) .word(0x543bb633) .word(0x0b2b76bb) +#if !defined(PULP) .word(0xcd1d688b) +#endif .word(0x90ff44bb) .word(0x8e844057) .word(0x4f9490af) @@ -35455,13 +38683,17 @@ main: .word(0xb68a6033) .word(0x33275307) .word(0xbe441e9b) +#if !defined(PULP) .word(0xcebc2adb) +#endif .word(0x3e41c867) .word(0x63d8db2b) .word(0x90abb5af) .word(0x51d24a73) .word(0xf8e8be83) +#if !defined(PULP) .word(0x50d264fb) +#endif .word(0xb58ad887) .word(0xa1770a9b) .word(0x1090bd23) @@ -35470,7 +38702,9 @@ main: .word(0xcef3166b) .word(0x7f10cb4b) .word(0xbd22c83b) +#if !defined(PULP) .word(0x2262f77b) +#endif .word(0xf99a06f3) .word(0x56d6a13b) .word(0xa7ba43cf) @@ -35494,7 +38728,9 @@ main: .word(0xeec892cb) .word(0xa74e28bb) .word(0x82898dd3) +#if !defined(PULP) .word(0xe360728b) +#endif .word(0xa0e3e6ab) .word(0xfb805b07) .word(0xf6ff3a4b) @@ -35513,18 +38749,24 @@ main: .word(0x21a77667) .word(0xe88a89a7) .word(0x34e038a3) +#if !defined(PULP) .word(0x49ac8e7b) +#endif .word(0xeff8c4a7) .word(0xc1c25feb) .word(0xf7102dbb) +#if !defined(PULP) .word(0x39f57a7b) +#endif .word(0x4e7ed323) .word(0xcd065feb) .word(0xdf776f33) .word(0xf7b59d77) .word(0x264d2c33) .word(0xa9c4ca2b) +#if !defined(PULP) .word(0x578fa17b) +#endif .word(0xb920d207) .word(0x180ad5eb) .word(0x069760e7) @@ -35543,9 +38785,13 @@ main: .word(0x5f79163b) .word(0x0f595d53) .word(0x79a89493) +#if !defined(PULP) .word(0x7aab3ddb) +#endif .word(0xb572cd73) +#if !defined(PULP) .word(0xb4e4e68b) +#endif .word(0x1babeb27) .word(0xae8a3b83) .word(0x8dd3a967) @@ -35561,7 +38807,9 @@ main: .word(0x59e52af7) .word(0x978e7b2b) .word(0xbd5aa52f) +#if !defined(PULP) .word(0x78583fdb) +#endif .word(0xe09daef7) .word(0x961155c3) .word(0x3a393c6b) @@ -35612,7 +38860,9 @@ main: .word(0x48abe96b) .word(0x8480bc23) .word(0x08cf736b) +#if !defined(PULP) .word(0x44ed255b) +#endif .word(0x8719bbbb) .word(0x4d5279eb) .word(0xb60f29eb) @@ -35627,7 +38877,9 @@ main: .word(0xe3dacf1b) .word(0x3ff0a357) .word(0x7d95a92f) +#if !defined(PULP) .word(0xc628c7ab) +#endif .word(0x7f6ad787) .word(0xe3085157) .word(0x9e5eb383) @@ -35649,7 +38901,9 @@ main: .word(0x5eda7443) .word(0x8ecb9bcb) .word(0x16cf124f) +#if !defined(PULP) .word(0xce69325b) +#endif .word(0x5f422433) .word(0xdbe8e1e7) .word(0xaab1742f) @@ -35657,7 +38911,9 @@ main: .word(0x408ad62b) .word(0x5b3e39f7) .word(0x1d6c8107) +#if !defined(PULP) .word(0xf2c7355b) +#endif .word(0x96897f67) .word(0xb711844b) .word(0x0793ff47) @@ -35667,7 +38923,9 @@ main: .word(0x838e1193) .word(0x17e1ae43) .word(0x3b97baaf) +#if !defined(PULP) .word(0xe5cbe28b) +#endif .word(0xb5deb6d7) .word(0x13af093b) .word(0xcd866b6b) @@ -35685,7 +38943,9 @@ main: .word(0x25ff2433) .word(0xda4fe3f7) .word(0x0d52d01b) +#if !defined(PULP) .word(0xaa717b7b) +#endif .word(0x7255eb3b) .word(0xea5f8b07) .word(0x90441387) @@ -35776,12 +39036,18 @@ main: .word(0x3ea6c74f) .word(0x6fc236eb) .word(0xb825a96b) +#if !defined(PULP) .word(0xabe66d7b) +#endif .word(0x8fb417e7) +#if !defined(PULP) .word(0xa17d04fb) +#endif .word(0x524848ab) .word(0x70f79c13) +#if !defined(PULP) .word(0x583b38db) +#endif .word(0xfe882ffb) .word(0x9b24f533) .word(0x06d6d5ab) @@ -35809,7 +39075,9 @@ main: .word(0xeda41cd7) .word(0x41fd5ebb) .word(0xf682e22b) +#if !defined(PULP) .word(0xf6bba15b) +#endif .word(0xf922f3a7) .word(0x3a045bbb) .word(0x7cceb9eb) @@ -35828,14 +39096,18 @@ main: .word(0x3afac833) .word(0x0140a5bb) .word(0x9d7387d3) +#if !defined(PULP) .word(0x3958750b) +#endif .word(0xd9f9aebb) .word(0x26f56cd3) .word(0xf79b9a9b) .word(0xa11b4d27) .word(0x07a038b3) .word(0x5f4ddcbb) +#if !defined(PULP) .word(0xc1f0c72b) +#endif .word(0x3a2461b3) .word(0x463e40a7) .word(0x4a55d2a7) @@ -35843,10 +39115,14 @@ main: .word(0xbb05b423) .word(0xea0b019b) .word(0xb49e12af) +#if !defined(PULP) .word(0x5271e98b) +#endif .word(0x9fc81c7b) .word(0x89f210b3) +#if !defined(PULP) .word(0xeb9d2cdb) +#endif .word(0x5708d823) .word(0xc30a7087) .word(0x43b3287b) @@ -35863,13 +39139,17 @@ main: .word(0x341c739b) .word(0xafa21d13) .word(0xf30551af) +#if !defined(PULP) .word(0x6186ff8b) +#endif .word(0x547649d3) .word(0x01cd5e6b) .word(0xcc53d9b3) .word(0x2e668b6b) .word(0xb31c8f33) +#if !defined(PULP) .word(0xdb3b3cdb) +#endif .word(0xf939d253) .word(0xeabc193b) .word(0x850b0aeb) @@ -35884,17 +39164,25 @@ main: .word(0xbd229433) .word(0xdf8e309b) .word(0xabdb3f2f) +#if !defined(PULP) .word(0x829f787b) +#endif .word(0x97e281d3) +#if !defined(PULP) .word(0x503a690b) +#endif .word(0x37fb1847) +#if !defined(PULP) .word(0xd3bd355b) +#endif .word(0x7adcb72f) .word(0x0b0d5767) .word(0x7833f5ab) .word(0x6f2c6b77) .word(0x98a53703) +#if !defined(PULP) .word(0x6cae748b) +#endif .word(0x4b0a103b) .word(0x68c77283) .word(0x2c34c387) @@ -35917,10 +39205,14 @@ main: .word(0x405572af) .word(0xc4f093d3) .word(0xecef6367) +#if !defined(PULP) .word(0x8f35798b) +#endif .word(0x6e1df1a3) .word(0x61cb5c93) +#if !defined(PULP) .word(0xa1b0462b) +#endif .word(0xfcfd4b2b) .word(0x8eace333) .word(0x122ff2ab) @@ -35952,7 +39244,9 @@ main: .word(0xe6005a43) .word(0xf6d0f483) .word(0x92775e53) +#if !defined(PULP) .word(0xf55829db) +#endif .word(0x8e168c4f) .word(0xcd2b33fb) .word(0x83e4d1a3) @@ -35963,8 +39257,12 @@ main: .word(0x1e1d6547) .word(0x8ab14d33) .word(0x404dd4a3) +#if !defined(PULP) .word(0xc11c837b) +#endif +#if !defined(PULP) .word(0xba543ffb) +#endif .word(0xee959b77) .word(0xeae1141b) .word(0xafdee743) @@ -35988,7 +39286,9 @@ main: .word(0x41593a83) .word(0xf1b607f3) .word(0x9a76596b) +#if !defined(PULP) .word(0x325e6efb) +#endif .word(0x87f7eb23) .word(0xccc264fb) .word(0xb970f6fb) @@ -36002,11 +39302,17 @@ main: .word(0x5442c93b) .word(0xc268752f) .word(0x11d1ae67) +#if !defined(PULP) .word(0x3706fa8b) +#endif .word(0x8f1126d3) +#if !defined(PULP) .word(0xc131f30b) +#endif .word(0x0e922e47) +#if !defined(PULP) .word(0x54e6ee0b) +#endif .word(0xf88d4477) .word(0x803eeb27) .word(0x3649dcf7) @@ -36019,7 +39325,9 @@ main: .word(0xce2adfc3) .word(0x85b94d57) .word(0xffccc94f) +#if !defined(PULP) .word(0x1e07ed7b) +#endif .word(0x1b7bd62b) .word(0x1050ee27) .word(0x5e6cce2b) @@ -36082,14 +39390,18 @@ main: .word(0x871d55f7) .word(0x9c7134eb) .word(0x308302bb) +#if !defined(PULP) .word(0x6175187b) +#endif .word(0x465d821b) .word(0x0fb4b42f) .word(0x87ed3ed7) .word(0x9cc37da3) .word(0xff3a7ca3) .word(0xba6d452f) +#if !defined(PULP) .word(0xe6e1ae5b) +#endif .word(0x1b19852f) .word(0x666c3a1b) .word(0x64b1fa2f) @@ -36157,7 +39469,9 @@ main: .word(0xaaf91707) .word(0x8bdc321b) .word(0xcf7ec023) +#if !defined(PULP) .word(0x253446ab) +#endif .word(0x9d6ef07b) .word(0x446cb68b) .word(0x1a873a67) @@ -36165,7 +39479,9 @@ main: .word(0xe2a2d2af) .word(0x3c9f421b) .word(0x979aff1b) +#if !defined(PULP) .word(0x2399e18b) +#endif .word(0x7bbb2f2f) .word(0x6c74d8a7) .word(0xeb2e039b) @@ -36175,11 +39491,15 @@ main: .word(0x7cbfed1b) .word(0xddda542f) .word(0x5d45aa53) +#if !defined(PULP) .word(0x8a77e98b) +#endif .word(0xdf16211b) .word(0xbf85ac7b) .word(0xf64434c7) +#if !defined(PULP) .word(0x0a36658b) +#endif .word(0x8edb187b) .word(0x03b1f9a3) .word(0x3eae5ccf) @@ -36196,7 +39516,9 @@ main: .word(0x35e900f7) .word(0xfdbfdf67) .word(0x578131eb) +#if !defined(PULP) .word(0xd3e73a5b) +#endif .word(0x9cca2dd7) .word(0xdaa8f1bb) .word(0x34d95f87) @@ -36224,7 +39546,9 @@ main: .word(0x53b12efb) .word(0xd254002f) .word(0x168f634f) +#if !defined(PULP) .word(0xdeb4a35b) +#endif .word(0x2da9ca77) .word(0xe9a91c87) .word(0x4ead5667) @@ -36234,7 +39558,9 @@ main: .word(0x1c9e99bb) .word(0xbd5253ab) .word(0x3ff6481b) +#if !defined(PULP) .word(0xd37733db) +#endif .word(0x34d97203) .word(0x42edce3b) .word(0xad70f907) @@ -36250,7 +39576,9 @@ main: .word(0x92055c13) .word(0x7102a73b) .word(0x2707719b) +#if !defined(PULP) .word(0xa89b6a8b) +#endif .word(0x8ecf47f7) .word(0x9583bd0b) .word(0xbbb28353) @@ -36266,22 +39594,32 @@ main: .word(0xf2407907) .word(0x61649a77) .word(0xcea50607) +#if !defined(PULP) .word(0x1d0af9fb) +#endif .word(0xf8553d7b) .word(0xd1a8322f) .word(0xc79773c3) .word(0x48b97c83) .word(0xe18766f7) .word(0x953b3a0b) +#if !defined(PULP) .word(0x3b71fb7b) +#endif .word(0x61dc55af) .word(0xbd49aa1b) .word(0x499d7067) +#if !defined(PULP) .word(0x0ad7ff0b) +#endif .word(0x73aebf67) .word(0x257abe0b) +#if !defined(PULP) .word(0xec8f7a0b) +#endif +#if !defined(PULP) .word(0xe142f70b) +#endif .word(0xbd9b4d23) .word(0x3de45caf) .word(0x026eee1b) @@ -36298,7 +39636,9 @@ main: .word(0x2e9b99d3) .word(0x370236c7) .word(0x30dedae7) +#if !defined(PULP) .word(0xc01a93fb) +#endif .word(0x6a7d876b) .word(0x1f6be16b) .word(0x6109f227) @@ -36339,8 +39679,12 @@ main: .word(0xe06f75d7) .word(0xb6d8369b) .word(0x1015c43b) +#if !defined(PULP) .word(0x68696a8b) +#endif +#if !defined(PULP) .word(0x4351eafb) +#endif .word(0x8914f223) .word(0x7fce9c1b) .word(0x4dff8f2f) @@ -36390,7 +39734,9 @@ main: .word(0xf1f25a93) .word(0xb19526e7) .word(0x5a26da2f) +#if !defined(PULP) .word(0x05e3f40b) +#endif .word(0x67c2cda3) .word(0x312d1c1b) .word(0xeeca36d7) @@ -36454,7 +39800,9 @@ main: .word(0x0bba72a3) .word(0xee954b47) .word(0x3446ce73) +#if !defined(PULP) .word(0x830cf2fb) +#endif .word(0x75df0e7b) .word(0xe5a100eb) .word(0xae37a4eb) @@ -36467,7 +39815,9 @@ main: .word(0x6215f7d3) .word(0x5f6fa1e7) .word(0xaf966523) +#if !defined(PULP) .word(0x58cf1cfb) +#endif .word(0xfa1d9aaf) .word(0x94167f07) .word(0x3492a03b) @@ -36501,7 +39851,9 @@ main: .word(0x6700e6d7) .word(0x344c736b) .word(0x44f80ef7) +#if !defined(PULP) .word(0x448a30db) +#endif .word(0xfed096cb) .word(0x5fb03b2b) .word(0xd1db60e7) @@ -36540,7 +39892,9 @@ main: .word(0x66f3bc43) .word(0x46c9452f) .word(0x6b669fe7) +#if !defined(PULP) .word(0xde49ec0b) +#endif .word(0x49c5e107) .word(0x404ed867) .word(0xa9a72feb) @@ -36559,7 +39913,9 @@ main: .word(0xffd7ec87) .word(0xeefeb94b) .word(0xe4bf5487) +#if !defined(PULP) .word(0x2525817b) +#endif .word(0x69de4933) .word(0x9ac0d913) .word(0xf3a0f3e7) @@ -36584,14 +39940,18 @@ main: .word(0x12c7783b) .word(0xe317d377) .word(0x247bd53b) +#if !defined(PULP) .word(0x687fb8db) +#endif .word(0x77e91713) .word(0x4bf19d13) .word(0x83dc3fa3) .word(0x73fbd8e7) .word(0x173e7a83) .word(0xae88c9cf) +#if !defined(PULP) .word(0x3a43f77b) +#endif .word(0x9ed5d39b) .word(0xb1c4372f) .word(0xcc9c16e7) @@ -36629,7 +39989,9 @@ main: .word(0x428105af) .word(0x7601d257) .word(0x1bc81f27) +#if !defined(PULP) .word(0x4dfd20db) +#endif .word(0xe237ff33) .word(0x5bb549d3) .word(0xc991432f) @@ -36642,7 +40004,9 @@ main: .word(0x09859f2f) .word(0x5a1fd077) .word(0xbfa655ab) +#if !defined(PULP) .word(0xda61fd8b) +#endif .word(0xcdc487fb) .word(0xabae04fb) .word(0xe164899b) @@ -36692,7 +40056,9 @@ main: .word(0x22d964a3) .word(0x315b18b3) .word(0xe3b4d913) +#if !defined(PULP) .word(0x45e1e78b) +#endif .word(0x2887ffb3) .word(0xc4fccef3) .word(0x9684f733) @@ -36741,8 +40107,12 @@ main: .word(0x6069f377) .word(0xf8e9393b) .word(0x91a0402f) +#if !defined(PULP) .word(0xfbb1a65b) +#endif +#if !defined(PULP) .word(0xe711a35b) +#endif .word(0x5d209c3b) .word(0xb502c507) .word(0x70d813eb) @@ -36750,10 +40120,16 @@ main: .word(0xbdb247b3) .word(0x438466b3) .word(0x1470eba7) +#if !defined(PULP) .word(0xabaeef8b) +#endif +#if !defined(PULP) .word(0xa846fb7b) +#endif .word(0xdb4b43bb) +#if !defined(PULP) .word(0x018a1cfb) +#endif .word(0x8d6dab67) .word(0x25637f2f) .word(0xd65fb153) @@ -36764,7 +40140,9 @@ main: .word(0x0d453103) .word(0x99760d53) .word(0xe38c9457) +#if !defined(PULP) .word(0x1c3e618b) +#endif .word(0x63ab4807) .word(0xb5432b2f) .word(0x82394d87) @@ -36797,7 +40175,9 @@ main: .word(0xf75520fb) .word(0x6f4688d7) .word(0xcb3fd1d7) +#if !defined(PULP) .word(0x2c508efb) +#endif .word(0xaf82a547) .word(0x0a6f7723) .word(0x72b10c2f) @@ -36805,7 +40185,9 @@ main: .word(0xb86915a7) .word(0x8697014b) .word(0xb6b4fc7b) +#if !defined(PULP) .word(0x7822addb) +#endif .word(0xc2449a67) .word(0xb6c39507) .word(0xbae71fa7) @@ -36815,17 +40197,25 @@ main: .word(0xc1c3e877) .word(0x92843557) .word(0xd70394e7) +#if !defined(PULP) .word(0x0a21e78b) +#endif .word(0xbdc5da93) .word(0x232fe8e7) .word(0xd698fa33) +#if !defined(PULP) .word(0x4bdd638b) +#endif +#if !defined(PULP) .word(0x47baf9fb) +#endif .word(0x5f0863ab) .word(0x88a5c1a3) .word(0xb9e9233b) .word(0x542fc9af) +#if !defined(PULP) .word(0x2392f58b) +#endif .word(0xa089c087) .word(0x9785b6e7) .word(0xa0bda167) @@ -36875,7 +40265,9 @@ main: .word(0x5a5b12f7) .word(0x7a84ce87) .word(0x975696e7) +#if !defined(PULP) .word(0xd0633edb) +#endif .word(0x3d080e2f) .word(0x8ec5372f) .word(0x6030abb3) @@ -36901,7 +40293,9 @@ main: .word(0xb3ddc4af) .word(0xda69922f) .word(0x6180a2fb) +#if !defined(PULP) .word(0xf99ded0b) +#endif .word(0x971bcfcb) .word(0xdcc604d7) .word(0x1f8086af) @@ -36929,10 +40323,14 @@ main: .word(0x0dff683b) .word(0x4a215f33) .word(0xf4cd3f23) +#if !defined(PULP) .word(0xcafdf67b) +#endif .word(0x16fd8627) .word(0x8b3e1d9b) +#if !defined(PULP) .word(0xee5c6c8b) +#endif .word(0x70fae353) .word(0x105dfee7) .word(0x02e00627) @@ -36952,14 +40350,20 @@ main: .word(0x9e1cf0c3) .word(0x17bd7ca3) .word(0x93e6cdeb) +#if !defined(PULP) .word(0x210ae80b) +#endif +#if !defined(PULP) .word(0x367f680b) +#endif .word(0x87df2bc7) .word(0x18f8bc6b) .word(0x4cd690d3) .word(0x3ec8983b) .word(0x4fec0e1b) +#if !defined(PULP) .word(0x5dc9788b) +#endif .word(0xc65907eb) .word(0x320e9beb) .word(0xc5bc82fb) @@ -37110,11 +40514,15 @@ main: .word(0xf1ac1b6b) .word(0xbdb53a6b) .word(0x550898af) +#if !defined(PULP) .word(0x2df9f9fb) +#endif .word(0xb1dfebaf) .word(0x05800877) .word(0xd08c3ebb) +#if !defined(PULP) .word(0xbcb1678b) +#endif .word(0xd8336dbb) .word(0x18f22ae7) .word(0x272e4ce7) @@ -37125,7 +40533,9 @@ main: .word(0xd98916bb) .word(0xd2c8ebb3) .word(0x6fa0fcc7) +#if !defined(PULP) .word(0x2813047b) +#endif .word(0xd9fa4107) .word(0x0954a1eb) .word(0x3ff1fc4b) @@ -37172,18 +40582,24 @@ main: .word(0xb3069d3b) .word(0x4ce42367) .word(0xc74caa3b) +#if !defined(PULP) .word(0xd8457a7b) +#endif .word(0x236c13eb) .word(0x32966caf) .word(0xc42399af) .word(0x8d639393) .word(0x84b88273) +#if !defined(PULP) .word(0x2ce07c7b) +#endif .word(0xa1bb66bb) .word(0x2c9e7007) .word(0x1697af9b) .word(0xcb99eaf7) +#if !defined(PULP) .word(0xffa7708b) +#endif .word(0x9d91f3fb) .word(0xc629514b) .word(0x45827883) @@ -37194,7 +40610,9 @@ main: .word(0xb0412cd7) .word(0x41f8f8b3) .word(0x5dbf469b) +#if !defined(PULP) .word(0x5b9eb02b) +#endif .word(0x0f91eca3) .word(0xbf78f607) .word(0xd32d1daf) @@ -37210,7 +40628,9 @@ main: .word(0x4c51052f) .word(0xd6f443a7) .word(0x60b1f067) +#if !defined(PULP) .word(0xf96e325b) +#endif .word(0x8d23a5e7) .word(0x86c6c76b) .word(0x26a6a1d7) @@ -37219,14 +40639,18 @@ main: .word(0x56b0834f) .word(0x1b5d54a7) .word(0xfff390d7) +#if !defined(PULP) .word(0x98870a7b) +#endif .word(0x09065ce7) .word(0x3a67e22f) .word(0x072a09f3) .word(0x3327cc3b) .word(0x880eaa9b) .word(0x0cd363e7) +#if !defined(PULP) .word(0x73d1fe0b) +#endif .word(0xd74571a7) .word(0xab6dd79b) .word(0x1210fef7) @@ -37235,7 +40659,9 @@ main: .word(0x29ff7aa7) .word(0x8d18eaeb) .word(0x46e6ea43) +#if !defined(PULP) .word(0x35a66c8b) +#endif .word(0x295ff5eb) .word(0xbba60057) .word(0xd9d6353b) @@ -37342,7 +40768,9 @@ main: .word(0xea1a59b3) .word(0xf51a7f23) .word(0xdf197143) +#if !defined(PULP) .word(0xf8e72f5b) +#endif .word(0xf8857eab) .word(0xba5d68ab) .word(0x75a5b723) @@ -37408,7 +40836,9 @@ main: .word(0xf061b42b) .word(0xfa0b0c1b) .word(0x83b50f9b) +#if !defined(PULP) .word(0x4991f77b) +#endif .word(0x3a2561b3) .word(0x0798a3d3) .word(0x3aade8e7) @@ -37426,7 +40856,9 @@ main: .word(0x151177f7) .word(0xccf8f1a7) .word(0x34c8e167) +#if !defined(PULP) .word(0x3c921bfb) +#endif .word(0xe27889f7) .word(0x192079a3) .word(0x9d150fd3) @@ -37459,7 +40891,9 @@ main: .word(0x8ed7342f) .word(0x59fff127) .word(0x2fb809fb) +#if !defined(PULP) .word(0x9aca670b) +#endif .word(0xaf75eccb) .word(0x2a0d042f) .word(0x6c7fd307) @@ -37489,7 +40923,9 @@ main: .word(0x8e051bfb) .word(0x7dea6253) .word(0xc6a03aeb) +#if !defined(PULP) .word(0x210b64fb) +#endif .word(0xcc31bfab) .word(0x7026f4af) .word(0x5bfc543b) @@ -37518,7 +40954,9 @@ main: .word(0xbf7747d7) .word(0xe36148ab) .word(0xbd1bd11b) +#if !defined(PULP) .word(0x8dc07f0b) +#endif .word(0x361732fb) .word(0x7cea6b6b) .word(0xc09b5aaf) @@ -37530,7 +40968,9 @@ main: .word(0x0ebcd2f7) .word(0xfa1cf62f) .word(0xafe043d3) +#if !defined(PULP) .word(0xec71abdb) +#endif .word(0x7129f903) .word(0x054deaab) .word(0x72950577) @@ -37558,7 +40998,9 @@ main: .word(0x7f36bd33) .word(0xd8026823) .word(0xaba70ff3) +#if !defined(PULP) .word(0x40b7648b) +#endif .word(0x4e33dfa7) .word(0xe4cf896b) .word(0x5f3f5e3b) @@ -37638,7 +41080,9 @@ main: .word(0x2a7c53b3) .word(0xd52f27d7) .word(0xb5a29f3b) +#if !defined(PULP) .word(0xab057f0b) +#endif .word(0x49c6592f) .word(0xd874432f) .word(0x71fd32d3) @@ -37651,7 +41095,9 @@ main: .word(0xe5ac8e9b) .word(0xbfcdff27) .word(0xb43b461b) +#if !defined(PULP) .word(0x0bf97afb) +#endif .word(0xe609b41b) .word(0x0cb6402f) .word(0x2d48e6a7) @@ -37659,11 +41105,15 @@ main: .word(0xab14cca7) .word(0x47105f9b) .word(0xef340c9b) +#if !defined(PULP) .word(0x7381fd0b) +#endif .word(0x4ba7ec33) .word(0x49e7f687) .word(0xc884baab) +#if !defined(PULP) .word(0x32b47c8b) +#endif .word(0x402b1707) .word(0xaea14b73) .word(0x67f8fc1b) @@ -37686,7 +41136,9 @@ main: .word(0x284a1193) .word(0x51d5abb3) .word(0xe4c29fbb) +#if !defined(PULP) .word(0xd3b0a8db) +#endif .word(0xebb2372b) .word(0xfcabef1b) .word(0xf7d032af) @@ -37694,8 +41146,12 @@ main: .word(0x57b6ff2b) .word(0x5e5de927) .word(0x2dd5f103) +#if !defined(PULP) .word(0x1fbff30b) +#endif +#if !defined(PULP) .word(0x9552ee0b) +#endif .word(0xf6eaf403) .word(0x3f00f677) .word(0x14253feb) @@ -37766,18 +41222,24 @@ main: .word(0xf891ca6b) .word(0x63c93a33) .word(0xc926d113) +#if !defined(PULP) .word(0xdf9a20db) +#endif .word(0x08b3542f) .word(0x17721bcf) .word(0xec5e967b) .word(0x9dbe39fb) .word(0xf62f5d07) +#if !defined(PULP) .word(0xe4733e5b) +#endif .word(0xc1fc571b) .word(0x7ef5e733) .word(0xb570b753) .word(0x070d9b27) +#if !defined(PULP) .word(0xa8d2057b) +#endif .word(0xac444427) .word(0xc4ca7e23) .word(0x5fe51b43) @@ -37806,7 +41268,9 @@ main: .word(0x5b32792b) .word(0x97f9e36b) .word(0x456df1e7) +#if !defined(PULP) .word(0xe175167b) +#endif .word(0xc0395413) .word(0x783e95d3) .word(0xa0d5319b) @@ -37844,14 +41308,18 @@ main: .word(0xf6b37daf) .word(0x5ef21caf) .word(0xd643eb4b) +#if !defined(PULP) .word(0x6eb1b35b) +#endif .word(0xa756b447) .word(0xf7227eeb) .word(0xafe5c8ab) .word(0xaddedd2b) .word(0x1fb98377) .word(0xc0263083) +#if !defined(PULP) .word(0x00fb747b) +#endif .word(0x0adaf31b) .word(0xe34bdb3b) .word(0xd14ad39b) @@ -37862,7 +41330,9 @@ main: .word(0x351e2f1b) .word(0xc1dd59d3) .word(0x0fcb112f) +#if !defined(PULP) .word(0x4d868d7b) +#endif .word(0x974504af) .word(0xfe1ff52f) .word(0x359266f7) @@ -37919,7 +41389,9 @@ main: .word(0x592ceb27) .word(0x9b0d0e9b) .word(0x5758434b) +#if !defined(PULP) .word(0x30a533ab) +#endif .word(0x9015d487) .word(0x6708d3f7) .word(0x7d36dfaf) @@ -37933,7 +41405,9 @@ main: .word(0x4f787487) .word(0x6cff5ba7) .word(0x6f22f9cf) +#if !defined(PULP) .word(0xba6cf70b) +#endif .word(0x1edb50f7) .word(0x796ea72f) .word(0x83b9542f) @@ -37976,14 +41450,18 @@ main: .word(0x3f16fbf7) .word(0x5a825f87) .word(0xa28dfb07) +#if !defined(PULP) .word(0x7bddacdb) +#endif .word(0xf95253eb) .word(0x576e3a9b) .word(0x5d8f1367) .word(0x8690624b) .word(0xb6d0bf83) .word(0x735095af) +#if !defined(PULP) .word(0x2963e2fb) +#endif .word(0x874d2ed3) .word(0x7cabd433) .word(0x38864373) @@ -38090,7 +41568,9 @@ main: .word(0x75869ba7) .word(0xaff16727) .word(0x947b7623) +#if !defined(PULP) .word(0xebcde18b) +#endif .word(0x5e531093) .word(0xa18efd23) .word(0xad8a89bb) @@ -38105,7 +41585,9 @@ main: .word(0x6f650ed7) .word(0xa9a9841b) .word(0x5086d993) +#if !defined(PULP) .word(0x72943cdb) +#endif .word(0xcf65b71b) .word(0xb5d2ed23) .word(0xd72bc673) @@ -38122,13 +41604,17 @@ main: .word(0xb1a873af) .word(0xabe77153) .word(0xf5148ff7) +#if !defined(PULP) .word(0x17ce6c0b) +#endif .word(0x1901411b) .word(0xfd8bc5eb) .word(0x8534b903) .word(0xf63a35fb) .word(0x8f0cfc43) +#if !defined(PULP) .word(0x745431ab) +#endif .word(0x919d5007) .word(0xf2606f2f) .word(0x162050af) @@ -38149,7 +41635,9 @@ main: .word(0xe6d8bd8b) .word(0xc2f65807) .word(0xd70b5a87) +#if !defined(PULP) .word(0x5aaf7d8b) +#endif .word(0x314f7103) .word(0xb8c3a2eb) .word(0xf9810577) @@ -38171,7 +41659,9 @@ main: .word(0x40fbf6d3) .word(0x7242d0bb) .word(0x1df34087) +#if !defined(PULP) .word(0xf66fa95b) +#endif .word(0x3fb492b3) .word(0x663f869b) .word(0x08abd4f7) @@ -38200,7 +41690,9 @@ main: .word(0x5906d313) .word(0x9fcbdecb) .word(0x07b7451b) +#if !defined(PULP) .word(0x08ff017b) +#endif .word(0xb7809beb) .word(0x1ed0762f) .word(0xcc731167) @@ -38214,7 +41706,9 @@ main: .word(0xa93cde93) .word(0x17bb08f7) .word(0x05e8e207) +#if !defined(PULP) .word(0xf138f80b) +#endif .word(0x67f393f7) .word(0x36b68d33) .word(0x829c65f7) @@ -38223,7 +41717,9 @@ main: .word(0x7f9492cb) .word(0x4ae9792f) .word(0xc653dcc7) +#if !defined(PULP) .word(0x274f7f0b) +#endif .word(0x6df5c1af) .word(0x11e94577) .word(0xa40a4b07) @@ -38233,10 +41729,16 @@ main: .word(0x8f1bfd83) .word(0x77ffdc3b) .word(0xc3dacd67) +#if !defined(PULP) .word(0xa372757b) +#endif .word(0x596dc423) +#if !defined(PULP) .word(0x497563fb) +#endif +#if !defined(PULP) .word(0xcc36b3db) +#endif .word(0xb7bd89a7) .word(0xd6c01047) .word(0xcd995c3b) @@ -38257,7 +41759,9 @@ main: .word(0xbe401493) .word(0xfc2d9d27) .word(0x9a23c32b) +#if !defined(PULP) .word(0xcadba15b) +#endif .word(0xb9163d83) .word(0x56514187) .word(0x1b22fb2f) @@ -38267,7 +41771,9 @@ main: .word(0xc8ae15d3) .word(0x869a9de7) .word(0xb199e987) +#if !defined(PULP) .word(0xe2bdf68b) +#endif .word(0xfdf5a067) .word(0xc20f5deb) .word(0x99173f2b) @@ -38284,12 +41790,16 @@ main: .word(0x65eb6377) .word(0xa14374f7) .word(0xcad236bb) +#if !defined(PULP) .word(0x0238eb8b) +#endif .word(0xf69dda1b) .word(0x4f368233) .word(0x2fc1af77) .word(0x86875baf) +#if !defined(PULP) .word(0xb52ae08b) +#endif .word(0x05939daf) .word(0x3c7b5177) .word(0x019f801b) @@ -38311,14 +41821,18 @@ main: .word(0x38525fab) .word(0x16b47433) .word(0xe005bcf7) +#if !defined(PULP) .word(0xc1ecfe7b) +#endif .word(0xe6f231af) .word(0xa90a47eb) .word(0x9502f87b) .word(0xbb7af9e7) .word(0x8cba6a87) .word(0x0d90c6e7) +#if !defined(PULP) .word(0x1207767b) +#endif .word(0x058b41a3) .word(0x6e9c216b) .word(0xc8f1c02f) @@ -38329,8 +41843,12 @@ main: .word(0xcd820657) .word(0x96eb77e7) .word(0x738977f7) +#if !defined(PULP) .word(0x1992e80b) +#endif +#if !defined(PULP) .word(0x093a7a8b) +#endif .word(0x45d8713b) .word(0x0a4a8f3b) .word(0xf181397b) @@ -38349,7 +41867,9 @@ main: .word(0xa2ccc6bb) .word(0x969052f7) .word(0x020509f7) +#if !defined(PULP) .word(0x52b8677b) +#endif .word(0x8363763b) .word(0xedb4dda7) .word(0x788a8257) @@ -38371,13 +41891,17 @@ main: .word(0xee2ae143) .word(0x5bc2300b) .word(0xc2168907) +#if !defined(PULP) .word(0x5fd7ee8b) +#endif .word(0x91fd6f6b) .word(0x460c3953) .word(0xbff38cd3) .word(0xba2bd9a7) .word(0x7bdde43b) +#if !defined(PULP) .word(0x91ce38ab) +#endif .word(0xfc93d967) .word(0xf3bcd833) .word(0x2a2447d7) @@ -38386,7 +41910,9 @@ main: .word(0xb6220df7) .word(0x6756c7c7) .word(0x8bc4e4ab) +#if !defined(PULP) .word(0x120d7a8b) +#endif .word(0x3fd39efb) .word(0xcdd5f687) .word(0xd4488b6b) @@ -38402,7 +41928,9 @@ main: .word(0x849946e7) .word(0x3a1440bb) .word(0x17d44453) +#if !defined(PULP) .word(0x620826db) +#endif .word(0xf5112ed3) .word(0x85f831d3) .word(0xadfb02af) @@ -38479,7 +42007,9 @@ main: .word(0xd7ac63eb) .word(0x7862fbaf) .word(0x9d9be4e7) +#if !defined(PULP) .word(0x4791b5db) +#endif .word(0x9f3cf84b) .word(0x2f8ef8eb) .word(0x8d8a0a1b) @@ -38488,7 +42018,9 @@ main: .word(0xbe244727) .word(0x77349f3b) .word(0x8928c4f7) +#if !defined(PULP) .word(0xd3927f8b) +#endif .word(0x43801213) .word(0x07210633) .word(0x1d04773b) @@ -38513,11 +42045,15 @@ main: .word(0x1e915ee7) .word(0x8b90173b) .word(0xe25a579b) +#if !defined(PULP) .word(0x2a8039ab) +#endif .word(0x03a872a7) .word(0x6f097707) .word(0x047bce07) +#if !defined(PULP) .word(0x445f34db) +#endif .word(0x36d7a2cf) .word(0xcfdb801b) .word(0x7ac2f8a7) @@ -38530,7 +42066,9 @@ main: .word(0x1711e1a3) .word(0x55876bb3) .word(0x1f614853) +#if !defined(PULP) .word(0x5eaae80b) +#endif .word(0x26a3a267) .word(0x99bda9d3) .word(0x4d197587) @@ -38595,7 +42133,9 @@ main: .word(0xf00a1eeb) .word(0x20f5c8eb) .word(0x7f260dfb) +#if !defined(PULP) .word(0x24b601fb) +#endif .word(0x93b8c1e7) .word(0xfd3788d3) .word(0xb8bf5f6b) @@ -38633,9 +42173,13 @@ main: .word(0x0ba18aa7) .word(0xdb612dd7) .word(0x8acee0eb) +#if !defined(PULP) .word(0x15d08dfb) +#endif .word(0xc27624eb) +#if !defined(PULP) .word(0xd775a95b) +#endif .word(0x2aed193b) .word(0x0e67c54b) .word(0xb3c7d42f) @@ -38644,7 +42188,9 @@ main: .word(0x334c6a27) .word(0x6f6069d7) .word(0x479df2bb) +#if !defined(PULP) .word(0x5feae38b) +#endif .word(0x032cc0a7) .word(0x4f93ce27) .word(0x2f48c0cf) @@ -38653,7 +42199,9 @@ main: .word(0x4b08daa3) .word(0x770e5077) .word(0xa60792cb) +#if !defined(PULP) .word(0xef48a1db) +#endif .word(0x766de37b) .word(0xda517967) .word(0x62992c67) @@ -38663,7 +42211,9 @@ main: .word(0x30a7da93) .word(0xacb3f81b) .word(0x9d1eb50b) +#if !defined(PULP) .word(0xc1bf007b) +#endif .word(0x56e91543) .word(0xc418c3eb) .word(0x24d1e4b3) @@ -38678,7 +42228,9 @@ main: .word(0x6f159efb) .word(0x27073fd3) .word(0x98c663a7) +#if !defined(PULP) .word(0x4562ba5b) +#endif .word(0x9ed1e7ab) .word(0xa802781b) .word(0x21b69b27) @@ -38696,7 +42248,9 @@ main: .word(0x773599c7) .word(0x974c0f07) .word(0x3c2ac31b) +#if !defined(PULP) .word(0xcb5c3edb) +#endif .word(0x7551e4f7) .word(0x5c1b462f) .word(0xd727f143) @@ -38734,14 +42288,18 @@ main: .word(0x0c0a96af) .word(0x6150286b) .word(0xe3d3f12f) +#if !defined(PULP) .word(0xcc5423db) +#endif .word(0x5acbbf8b) .word(0x86584457) .word(0x6fb74673) .word(0xf330a8bb) .word(0xe630fd43) .word(0x481b0c2f) +#if !defined(PULP) .word(0x8b6569fb) +#endif .word(0xe70f8e9b) .word(0x688351eb) .word(0xd42c63d7) @@ -38750,7 +42308,9 @@ main: .word(0x8480e977) .word(0xf8aa5e27) .word(0x761c4843) +#if !defined(PULP) .word(0x7dc2630b) +#endif .word(0x3e7196b3) .word(0xbc639913) .word(0x6f8de72f) @@ -38758,7 +42318,9 @@ main: .word(0x225907f3) .word(0xfe419faf) .word(0x0b37f6f7) +#if !defined(PULP) .word(0xb9b9768b) +#endif .word(0x9ff9874b) .word(0xf64657eb) .word(0xd415a21b) @@ -38822,7 +42384,9 @@ main: .word(0xbb16a22f) .word(0x47dd1c07) .word(0x9de99127) +#if !defined(PULP) .word(0x5925e70b) +#endif .word(0xbcd65f77) .word(0x5ad8d2a3) .word(0xac5e51ab) @@ -38844,7 +42408,9 @@ main: .word(0xad6a722b) .word(0xd5487977) .word(0x21029ebb) +#if !defined(PULP) .word(0xf0a3aa5b) +#endif .word(0xd720fb3b) .word(0x6de7d5eb) .word(0x1f258ecb) @@ -38877,7 +42443,9 @@ main: .word(0x4ab4c6bb) .word(0x6416b91b) .word(0xc9c0b977) +#if !defined(PULP) .word(0xe0d3a3db) +#endif .word(0x1f10b4f7) .word(0xdb636cbb) .word(0x5f8bf453) @@ -38895,7 +42463,9 @@ main: .word(0xa0ebd8bb) .word(0x57d4f43b) .word(0x793ca59b) +#if !defined(PULP) .word(0xaad6fdfb) +#endif .word(0x32f6546b) .word(0x27a2824f) .word(0x39ccc3bb) @@ -38938,11 +42508,15 @@ main: .word(0x26deb72b) .word(0x7be0441b) .word(0xdb193f3b) +#if !defined(PULP) .word(0xe39f3a5b) +#endif .word(0xbd1a269b) .word(0x0fe4063b) .word(0xc0442de7) +#if !defined(PULP) .word(0xe9b531db) +#endif .word(0xae887477) .word(0x77ae0457) .word(0xdf439ccf) @@ -38952,12 +42526,16 @@ main: .word(0xf6f3f4d3) .word(0x3302ea67) .word(0x4e359c93) +#if !defined(PULP) .word(0x0719710b) +#endif .word(0x462cc723) .word(0xbd8d259b) .word(0x910ff267) .word(0x642270a3) +#if !defined(PULP) .word(0xc8abfe0b) +#endif .word(0xab6da83b) .word(0x406371af) .word(0xcbd9da57) @@ -38967,7 +42545,9 @@ main: .word(0xe648a3d7) .word(0xa919e19b) .word(0xebc87087) +#if !defined(PULP) .word(0x0a7c7f7b) +#endif .word(0x47fdd5af) .word(0xcb6048d3) .word(0xa42accd7) @@ -38991,7 +42571,9 @@ main: .word(0xb603fc23) .word(0x92524a6b) .word(0x01878f2f) +#if !defined(PULP) .word(0xfee3718b) +#endif .word(0xab74a953) .word(0xe91a3d77) .word(0x3e583ecf) @@ -39003,10 +42585,14 @@ main: .word(0xfa3708f3) .word(0x260f69a7) .word(0x3028fcaf) +#if !defined(PULP) .word(0x61a9ff7b) +#endif .word(0xc8c8debb) .word(0x367f4e6b) +#if !defined(PULP) .word(0xd918f48b) +#endif .word(0x237f7223) .word(0xb87aeeb3) .word(0x6c3baed3) @@ -39016,14 +42602,18 @@ main: .word(0x33479c13) .word(0x94d375b3) .word(0x366612c3) +#if !defined(PULP) .word(0x09c7edfb) +#endif .word(0xd008b177) .word(0xa104753b) .word(0x1906ca2b) .word(0x77850053) .word(0xb597679b) .word(0xc55a6a2f) +#if !defined(PULP) .word(0x7cf8748b) +#endif .word(0x606fda23) .word(0x1c9079bb) .word(0xc6f37d6b) @@ -39047,7 +42637,9 @@ main: .word(0x52f2ffa7) .word(0x2fee379b) .word(0xf650502b) +#if !defined(PULP) .word(0x2d32797b) +#endif .word(0x47a34ec7) .word(0x93fd011b) .word(0x4f51bcc7) @@ -39062,7 +42654,9 @@ main: .word(0x44199c13) .word(0x1fdb9d77) .word(0x3b19c1d7) +#if !defined(PULP) .word(0xba958f7b) +#endif .word(0x25e7b323) .word(0xc05f981b) .word(0xf3a22e9b) @@ -39071,7 +42665,9 @@ main: .word(0xb2678eeb) .word(0x0a1cc6af) .word(0xb6fe702f) +#if !defined(PULP) .word(0x3273fb0b) +#endif .word(0x760ccd07) .word(0x6fd8059b) .word(0x177b5f2b) @@ -39087,7 +42683,9 @@ main: .word(0xb92664af) .word(0xabeb1367) .word(0xbaf77b3b) +#if !defined(PULP) .word(0x5cebbdab) +#endif .word(0xfc1a7faf) .word(0xd6845977) .word(0x374ed993) @@ -39112,7 +42710,9 @@ main: .word(0xd6a0c473) .word(0xb654ee7b) .word(0x4614d2d7) +#if !defined(PULP) .word(0xd6c8bc5b) +#endif .word(0xd8bb626b) .word(0xbe616477) .word(0x97a9626b) @@ -39206,7 +42806,9 @@ main: .word(0x1d482afb) .word(0xcf40b657) .word(0xcfb806f7) +#if !defined(PULP) .word(0x57146dfb) +#endif .word(0x2fc8edbb) .word(0xa6814c53) .word(0xaca9b353) @@ -39249,7 +42851,9 @@ main: .word(0x2642656b) .word(0xd52a34fb) .word(0x0521963b) +#if !defined(PULP) .word(0x04dcf28b) +#endif .word(0x3b8cc707) .word(0x526fecb3) .word(0xeb04350b) @@ -39281,7 +42885,9 @@ main: .word(0xe72eff77) .word(0xa319ba67) .word(0xb5fed407) +#if !defined(PULP) .word(0x22cffb7b) +#endif .word(0xbf278baf) .word(0x8a86b4a3) .word(0x728ce833) @@ -39308,7 +42914,9 @@ main: .word(0x73f61867) .word(0x67c63833) .word(0x6ebfe6fb) +#if !defined(PULP) .word(0x875e710b) +#endif .word(0x23f8b753) .word(0x469483b3) .word(0x0d060a6b) @@ -39341,7 +42949,9 @@ main: .word(0xe798b223) .word(0xb61378eb) .word(0x8a119b27) +#if !defined(PULP) .word(0x608c35db) +#endif .word(0x6f506e47) .word(0x2acffa23) .word(0xf53ecae7) @@ -39367,7 +42977,9 @@ main: .word(0x08adf7ab) .word(0x6dfaf4bb) .word(0x211d951b) +#if !defined(PULP) .word(0x2506f10b) +#endif .word(0xc7ce671b) .word(0x609243b3) .word(0x0b59a333) @@ -39389,7 +43001,9 @@ main: .word(0x3fcf2d9b) .word(0xfd1a2b7b) .word(0xa162e187) +#if !defined(PULP) .word(0xc3d36efb) +#endif .word(0x7586dad7) .word(0x06826da3) .word(0xc15cb2a3) @@ -39422,7 +43036,9 @@ main: .word(0xf6715eab) .word(0x75e512e7) .word(0x5cf0707b) +#if !defined(PULP) .word(0xf219e68b) +#endif .word(0x95977703) .word(0xbe97d14f) .word(0x3108e5d3) @@ -39437,7 +43053,9 @@ main: .word(0x80fb88d3) .word(0x8498469b) .word(0xf1da011b) +#if !defined(PULP) .word(0xc36132db) +#endif .word(0x0a308c33) .word(0x4829636b) .word(0x06a5d3c7) @@ -39445,13 +43063,19 @@ main: .word(0x96d14023) .word(0x49356abb) .word(0xfc795427) +#if !defined(PULP) .word(0x7a74ac5b) +#endif .word(0x4740ec53) +#if !defined(PULP) .word(0xed35f18b) +#endif .word(0x8668b3cf) .word(0x23ecd857) .word(0x1d6a8377) +#if !defined(PULP) .word(0x20c9137b) +#endif .word(0xdc7de007) .word(0x111e9777) .word(0xbfe1412b) @@ -39479,14 +43103,18 @@ main: .word(0x38ebbafb) .word(0xb0c3f907) .word(0xba94d033) +#if !defined(PULP) .word(0xab9b7c7b) +#endif .word(0x3bd06ea7) .word(0x1aae0ffb) .word(0x35701667) .word(0x1671b93b) .word(0xc4fe42e7) .word(0xb9687177) +#if !defined(PULP) .word(0x2ee378fb) +#endif .word(0x175bd06b) .word(0x72a42167) .word(0x651213a7) @@ -39518,7 +43146,9 @@ main: .word(0xcb10cc1b) .word(0x589795af) .word(0x7983eaa7) +#if !defined(PULP) .word(0xdca4265b) +#endif .word(0xcd8504af) .word(0xe5dc31d3) .word(0x1308c373) @@ -39594,8 +43224,12 @@ main: .word(0x1e190d57) .word(0xc6a98f9b) .word(0xd04fdea7) +#if !defined(PULP) .word(0x4ff8bf5b) +#endif +#if !defined(PULP) .word(0xaecd688b) +#endif .word(0x791251bb) .word(0x9fa24b6b) .word(0xa1633aab) @@ -39611,9 +43245,13 @@ main: .word(0x16b183bb) .word(0xfbe14cd3) .word(0x550afc1b) +#if !defined(PULP) .word(0xc5337c8b) +#endif .word(0x1c50793b) +#if !defined(PULP) .word(0x712fee0b) +#endif .word(0xfbee66d3) .word(0x112ffa33) .word(0xabdc22e7) @@ -39638,7 +43276,9 @@ main: .word(0x1ff8964f) .word(0xadbc34ab) .word(0xf14f6457) +#if !defined(PULP) .word(0x6b49e0fb) +#endif .word(0x07a4d1eb) .word(0xff6610e7) .word(0xc3a43523) @@ -39676,7 +43316,9 @@ main: .word(0xc35e8c27) .word(0xf37b6027) .word(0xc9ee5377) +#if !defined(PULP) .word(0x12547a8b) +#endif .word(0xef9d2f43) .word(0x8465c873) .word(0x7518dd2f) @@ -39696,7 +43338,9 @@ main: .word(0xfa151f1b) .word(0x0ec1ab9b) .word(0xe6d810c3) +#if !defined(PULP) .word(0x49752edb) +#endif .word(0x97846aaf) .word(0x310c0ab3) .word(0xf1a40deb) @@ -39728,13 +43372,17 @@ main: .word(0x4e23e123) .word(0x8a4a7fa3) .word(0x1700cc23) +#if !defined(PULP) .word(0x1808362b) +#endif .word(0x8bc2d313) .word(0x50dced9b) .word(0x27dbfcaf) .word(0x34008173) .word(0xb7c680af) +#if !defined(PULP) .word(0xc07c93fb) +#endif .word(0x7422bd2f) .word(0x79b9f7d3) .word(0xe10c2fe7) @@ -39769,7 +43417,9 @@ main: .word(0x4e1cb49b) .word(0xde85f0b3) .word(0xc56191e7) +#if !defined(PULP) .word(0x9961f80b) +#endif .word(0x0703368b) .word(0xab488a6b) .word(0x7d76ba7b) @@ -39815,7 +43465,9 @@ main: .word(0x694c356b) .word(0x6977caab) .word(0x8935ec27) +#if !defined(PULP) .word(0xb7b3778b) +#endif .word(0x7e903d43) .word(0x5db0cc2b) .word(0x4ab1fd77) @@ -39845,9 +43497,13 @@ main: .word(0xe723cbeb) .word(0x95072ff7) .word(0x54e3b877) +#if !defined(PULP) .word(0xa3d1fe8b) +#endif .word(0xcf249b4b) +#if !defined(PULP) .word(0x6fe5630b) +#endif .word(0x2550c61b) .word(0xaaf98dbb) .word(0xcb3f55d3) @@ -39864,7 +43520,9 @@ main: .word(0x549a211b) .word(0x3c5a3fb3) .word(0x47d682a7) +#if !defined(PULP) .word(0x595a067b) +#endif .word(0x5da23df7) .word(0x1a4aa867) .word(0x71bc6f57) @@ -39883,9 +43541,13 @@ main: .word(0x76b91e4f) .word(0x2c37cea3) .word(0xce79e467) +#if !defined(PULP) .word(0xcb76e90b) +#endif .word(0x0cf10887) +#if !defined(PULP) .word(0x607c255b) +#endif .word(0x42489e93) .word(0xe2f78557) .word(0x849f2e3b) @@ -39916,7 +43578,9 @@ main: .word(0xb72e15d7) .word(0x6c4d402b) .word(0x2f940d33) +#if !defined(PULP) .word(0x5ef82edb) +#endif .word(0x1e13ebc3) .word(0xec29c02b) .word(0x863831f7) @@ -39924,10 +43588,16 @@ main: .word(0x358bcb67) .word(0x92476707) .word(0x6b06b9eb) +#if !defined(PULP) .word(0x8966748b) +#endif .word(0x31e8cab3) +#if !defined(PULP) .word(0xf395b85b) +#endif +#if !defined(PULP) .word(0xa07773fb) +#endif .word(0x0f11b4d7) .word(0x349d3a8b) .word(0x76dceab3) @@ -39938,7 +43608,9 @@ main: .word(0xce72e0fb) .word(0x9f243e0b) .word(0xe58815d7) +#if !defined(PULP) .word(0xe1a0770b) +#endif .word(0xd20c5077) .word(0x5e0a637b) .word(0xb70092b3) @@ -39968,7 +43640,9 @@ main: .word(0xee5666fb) .word(0xa2ebd19b) .word(0xa1e6376b) +#if !defined(PULP) .word(0x557dfc8b) +#endif .word(0x0b1c2077) .word(0x6745bf47) .word(0x33378907) @@ -39979,7 +43653,9 @@ main: .word(0x2df53e7b) .word(0xdfff9207) .word(0x25ea8533) +#if !defined(PULP) .word(0x59e9660b) +#endif .word(0x2385fdeb) .word(0xcbb6f0bb) .word(0xe03ecd73) @@ -40005,7 +43681,9 @@ main: .word(0xc1e66b53) .word(0x716ea97b) .word(0x028097fb) +#if !defined(PULP) .word(0x143f1cfb) +#endif .word(0x43d4e5ab) .word(0x4674c7cb) .word(0x17446f53) @@ -40042,7 +43720,9 @@ main: .word(0x0b937207) .word(0x27380e27) .word(0x26219953) +#if !defined(PULP) .word(0x60b1fe8b) +#endif .word(0x95bf4907) .word(0x33ecc6bb) .word(0xe01b6c2f) @@ -40082,7 +43762,9 @@ main: .word(0x9c3b8057) .word(0xf6fe6977) .word(0xe6a5bbd3) +#if !defined(PULP) .word(0x5d49f68b) +#endif .word(0x4f8e9007) .word(0xf4c84153) .word(0x1460dc23) @@ -40093,9 +43775,13 @@ main: .word(0x1ae4fc9b) .word(0x3f6180c7) .word(0xbe249efb) +#if !defined(PULP) .word(0x84cbb42b) +#endif .word(0xf8d438f7) +#if !defined(PULP) .word(0xed7120db) +#endif .word(0x99e887eb) .word(0xcc741d53) .word(0x37acb93b) @@ -40157,9 +43843,13 @@ main: .word(0x88245ae7) .word(0x0d0ff907) .word(0xde90091b) +#if !defined(PULP) .word(0x780f750b) +#endif .word(0xe25b2053) +#if !defined(PULP) .word(0x818a657b) +#endif .word(0x0cf2862f) .word(0x8a009227) .word(0x6546df77) @@ -40169,7 +43859,9 @@ main: .word(0x8dc5c387) .word(0xfc52eceb) .word(0x012b0f07) +#if !defined(PULP) .word(0x81eb610b) +#endif .word(0xb6f69977) .word(0x6b54dbd3) .word(0x6a2dd8bb) @@ -40183,7 +43875,9 @@ main: .word(0x1a4923bb) .word(0x52c656f7) .word(0x553374fb) +#if !defined(PULP) .word(0xb37b668b) +#endif .word(0x245927b3) .word(0x5c4416f7) .word(0x13c38bd7) @@ -40198,7 +43892,9 @@ main: .word(0x2820de87) .word(0xdc9af06b) .word(0x637a6467) +#if !defined(PULP) .word(0x25bbba2b) +#endif .word(0xede988f3) .word(0x747ef23b) .word(0x97204e87) @@ -40217,7 +43913,9 @@ main: .word(0xc7b5e1eb) .word(0x7e4acb23) .word(0xa74be4eb) +#if !defined(PULP) .word(0x6782bc5b) +#endif .word(0xa3c1f527) .word(0xe9782e2f) .word(0x83455d07) @@ -40227,9 +43925,13 @@ main: .word(0x0e48c933) .word(0x7d9886d7) .word(0x10b8c967) +#if !defined(PULP) .word(0x812911fb) +#endif .word(0xdf97df1b) +#if !defined(PULP) .word(0x49e8768b) +#endif .word(0xd4ea94a7) .word(0xf655ba83) .word(0x1ebeaef7) @@ -40238,7 +43940,9 @@ main: .word(0xf88c4bbb) .word(0x22d23f2f) .word(0x6f3007f3) +#if !defined(PULP) .word(0x881de10b) +#endif .word(0x32244257) .word(0xc7ccda6b) .word(0xd75c304f) @@ -40253,12 +43957,18 @@ main: .word(0x40e84da3) .word(0x4a69151b) .word(0xd75e60f7) +#if !defined(PULP) .word(0xfd3b365b) +#endif .word(0xf01118d7) .word(0x0726b14b) +#if !defined(PULP) .word(0xf705f10b) +#endif .word(0xaf7fc4f7) +#if !defined(PULP) .word(0x888e3aab) +#endif .word(0x072b126b) .word(0xe7825057) .word(0xaca7aeaf) @@ -40287,12 +43997,16 @@ main: .word(0x5692f647) .word(0x2372dd1b) .word(0x4d8c80af) +#if !defined(PULP) .word(0x00db9ffb) +#endif .word(0xfb78d52b) .word(0xfbb89d07) .word(0xf47757b3) .word(0x302a0473) +#if !defined(PULP) .word(0x8121668b) +#endif .word(0xec7e253b) .word(0x71a61e7b) .word(0x51968df7) @@ -40327,7 +44041,9 @@ main: .word(0x3732f4b3) .word(0x1f3cd3a3) .word(0x0ad859bb) +#if !defined(PULP) .word(0xa341e6fb) +#endif .word(0x6a53ded3) .word(0xcbb36dbb) .word(0x6a9f91d3) @@ -40375,7 +44091,9 @@ main: .word(0xfc271afb) .word(0x9eaf849b) .word(0x2cf74173) +#if !defined(PULP) .word(0x06a4748b) +#endif .word(0x57761587) .word(0x1708f353) .word(0x2067762b) @@ -40402,7 +44120,9 @@ main: .word(0xb4398253) .word(0xdfbe5ef7) .word(0x609588d3) +#if !defined(PULP) .word(0x0cc80bfb) +#endif .word(0x5575022f) .word(0x97ea54cf) .word(0x06f9be6b) @@ -40421,7 +44141,9 @@ main: .word(0x5e53df2b) .word(0x154bff67) .word(0x276c726b) +#if !defined(PULP) .word(0x922abf2b) +#endif .word(0xdbd7b67b) .word(0x85e09f77) .word(0xa7266043) @@ -40445,10 +44167,14 @@ main: .word(0x4ba8d13b) .word(0x1a4ec933) .word(0x5e3c320b) +#if !defined(PULP) .word(0x1ac0b9ab) +#endif .word(0x06c7fa6b) .word(0x1219cff7) +#if !defined(PULP) .word(0x2aaef17b) +#endif .word(0x2554debb) .word(0xa7808f87) .word(0x252b57a7) @@ -40540,7 +44266,9 @@ main: .word(0x20d95daf) .word(0xf376be2f) .word(0xbab1a8eb) +#if !defined(PULP) .word(0x940e6e0b) +#endif .word(0xc08b5e53) .word(0x24985a93) .word(0x0f5d1c33) @@ -40575,7 +44303,9 @@ main: .word(0xcb7ba8d3) .word(0xb8ce9407) .word(0xb2f5482f) +#if !defined(PULP) .word(0x619972fb) +#endif .word(0x4ce97f67) .word(0x839bea27) .word(0xe698962f) @@ -40599,7 +44329,9 @@ main: .word(0x88da7b23) .word(0x1663f8f7) .word(0x07961d07) +#if !defined(PULP) .word(0x0b77ecfb) +#endif .word(0x5dfe91f7) .word(0xf6ad6f53) .word(0x19e40a87) @@ -40621,7 +44353,9 @@ main: .word(0x347cc367) .word(0x53471faf) .word(0xc76a3e77) +#if !defined(PULP) .word(0xfb25917b) +#endif .word(0xfdf60d6b) .word(0x7cf085fb) .word(0xf98ad72b) @@ -40654,8 +44388,12 @@ main: .word(0xf19e2f6b) .word(0xb8bad4bb) .word(0xd394f4b3) +#if !defined(PULP) .word(0x7fc8ee0b) +#endif +#if !defined(PULP) .word(0x1f15f47b) +#endif .word(0xd1f0ee6b) .word(0xc0409da7) .word(0x4e35e627) @@ -40666,7 +44404,9 @@ main: .word(0x3b67722b) .word(0x70af619b) .word(0x3f6591d3) +#if !defined(PULP) .word(0x40958afb) +#endif .word(0x0447a86b) .word(0x5fcd6fe7) .word(0x77f3a54b) @@ -40687,9 +44427,13 @@ main: .word(0x911b20bb) .word(0x35a21f2f) .word(0x958479a3) +#if !defined(PULP) .word(0x58763eab) +#endif .word(0x3fbf3733) +#if !defined(PULP) .word(0x560fbb2b) +#endif .word(0x726573ab) .word(0xf4c8db77) .word(0xa9750bbb) @@ -40698,7 +44442,9 @@ main: .word(0x5cb2b9af) .word(0xa7f2a053) .word(0x7d43e3a7) +#if !defined(PULP) .word(0x4d737a8b) +#endif .word(0x1f2f0b6b) .word(0x538eacaf) .word(0x2df34df7) @@ -40715,7 +44461,9 @@ main: .word(0x1299e687) .word(0x96ef893b) .word(0x19d8b71b) +#if !defined(PULP) .word(0x101ef07b) +#endif .word(0xa1ed84a7) .word(0xd4b6512f) .word(0x1e9a879b) @@ -40724,17 +44472,23 @@ main: .word(0x02313aa3) .word(0x1659766b) .word(0xb5e07c33) +#if !defined(PULP) .word(0xd98aef0b) +#endif .word(0x119856af) .word(0x1f58543b) .word(0x93326ba3) .word(0x219ad477) .word(0xdb6f0dd7) .word(0x79d7d8b3) +#if !defined(PULP) .word(0xa0d0fb7b) +#endif .word(0x0a1d14e7) .word(0x975db0bb) +#if !defined(PULP) .word(0x7b7c680b) +#endif .word(0x7a960657) .word(0xf3fa479b) .word(0x110ddae7) @@ -40744,7 +44498,9 @@ main: .word(0x6b64d307) .word(0x9a209bfb) .word(0x82cecbbb) +#if !defined(PULP) .word(0x346e36ab) +#endif .word(0xd4079b1b) .word(0x261aa9d3) .word(0x22de1f3b) @@ -40752,8 +44508,12 @@ main: .word(0xd08b1eeb) .word(0x73539987) .word(0xb536669b) +#if !defined(PULP) .word(0x583178fb) +#endif +#if !defined(PULP) .word(0xc250788b) +#endif .word(0xe5c8762b) .word(0xf31cc527) .word(0xb16b4277) @@ -40771,7 +44531,9 @@ main: .word(0xf446ba67) .word(0x001b4bf3) .word(0x5e651f4b) +#if !defined(PULP) .word(0x35cc93fb) +#endif .word(0x81b6ca1b) .word(0x041a733b) .word(0xccbedc77) @@ -40815,7 +44577,9 @@ main: .word(0xecabf227) .word(0x915d756b) .word(0x3250e62f) +#if !defined(PULP) .word(0x30f771fb) +#endif .word(0xd6d54723) .word(0x45e14fa3) .word(0x3b52b4eb) @@ -40840,19 +44604,27 @@ main: .word(0xc6d2707b) .word(0xbc3768f7) .word(0xd04030a3) +#if !defined(PULP) .word(0x6f2bf48b) +#endif .word(0xc2ab58ab) +#if !defined(PULP) .word(0xbe967a8b) +#endif .word(0x7defced3) .word(0xe63bbfcf) .word(0x42a3cd1b) .word(0xa37b1d6b) .word(0x4be4370b) .word(0x66281433) +#if !defined(PULP) .word(0x6ce4ec0b) +#endif .word(0x74d775a7) .word(0x4b4d946b) +#if !defined(PULP) .word(0xda25b55b) +#endif .word(0x96b7143b) .word(0x31986ef7) .word(0x021d7f9b) @@ -40865,7 +44637,9 @@ main: .word(0xeeae774f) .word(0x21e78587) .word(0x7aa562eb) +#if !defined(PULP) .word(0x5297a95b) +#endif .word(0x0cc529d7) .word(0x532672af) .word(0x3abd0b87) @@ -40879,7 +44653,9 @@ main: .word(0x15fac887) .word(0xae02382b) .word(0xf9c2a7e7) +#if !defined(PULP) .word(0xb542f68b) +#endif .word(0x77936e4b) .word(0x8e242a4b) .word(0xc3dfadfb) @@ -40890,11 +44666,15 @@ main: .word(0xd2eb1aeb) .word(0x983d4277) .word(0xe0e65493) +#if !defined(PULP) .word(0xcbc3275b) +#endif .word(0xfc651453) .word(0xd0b650af) .word(0xb7db32bb) +#if !defined(PULP) .word(0x89e8bb2b) +#endif .word(0x3e7aba23) .word(0xfb80312f) .word(0x5b6852af) @@ -40916,7 +44696,9 @@ main: .word(0x718770fb) .word(0xb9f819bb) .word(0xd75e07a7) +#if !defined(PULP) .word(0x48cfe37b) +#endif .word(0x29b4169b) .word(0xb051e033) .word(0x84659a9b) @@ -40942,7 +44724,9 @@ main: .word(0x1e88a833) .word(0x5fb57be7) .word(0x84e16daf) +#if !defined(PULP) .word(0xc26f708b) +#endif .word(0x46aa6e6b) .word(0x32a69b53) .word(0xb4f8a9af) @@ -40966,13 +44750,17 @@ main: .word(0x7e3fedb3) .word(0x7664c587) .word(0x85b1a057) +#if !defined(PULP) .word(0x4dc9472b) +#endif .word(0x0f4293c7) .word(0x262036cb) .word(0x222fd6af) .word(0xaf96e16b) .word(0x82ccc8ab) +#if !defined(PULP) .word(0xdd49748b) +#endif .word(0x4a5dcbd7) .word(0x4eb1e23b) .word(0xf01cf62f) @@ -40998,7 +44786,9 @@ main: .word(0x4b5b13a7) .word(0x3a48b01b) .word(0xff8e2d43) +#if !defined(PULP) .word(0x9a4e7e0b) +#endif .word(0xdea4b8e7) .word(0x4ecab277) .word(0xa61c0ea7) @@ -41007,7 +44797,9 @@ main: .word(0x069c19f7) .word(0x0a1ac987) .word(0xcfca77d7) +#if !defined(PULP) .word(0xd2b7777b) +#endif .word(0xa89fb18b) .word(0x72520f73) .word(0xa13abe9b) @@ -41070,7 +44862,9 @@ main: .word(0x6f612b47) .word(0xe61f9eeb) .word(0x3210116b) +#if !defined(PULP) .word(0x9698768b) +#endif .word(0x92ee53ab) .word(0x99f2dd23) .word(0x1ea4424f) @@ -41129,7 +44923,9 @@ main: .word(0xd15790a7) .word(0x13d04af7) .word(0x855c17bb) +#if !defined(PULP) .word(0x542e06fb) +#endif .word(0x40fb8c2f) .word(0xaab274e7) .word(0xb8d65eeb) @@ -41140,8 +44936,12 @@ main: .word(0x4772473b) .word(0xf44f6927) .word(0x78e5a3af) +#if !defined(PULP) .word(0xba513f7b) +#endif +#if !defined(PULP) .word(0x59596e8b) +#endif .word(0xdf0b5927) .word(0x1b135277) .word(0x0bfb23eb) @@ -41153,7 +44953,9 @@ main: .word(0xe87d1307) .word(0x882e8373) .word(0xced906af) +#if !defined(PULP) .word(0x2b63720b) +#endif .word(0xc8adfdd7) .word(0xc335b2e7) .word(0xf4a7383b) @@ -41182,7 +44984,9 @@ main: .word(0x05ccb2d7) .word(0xc95e93f7) .word(0xd8d2f8d7) +#if !defined(PULP) .word(0xc217f50b) +#endif .word(0x340836d7) .word(0x488922d3) .word(0x072f0fbb) @@ -41243,7 +45047,9 @@ main: .word(0x43ce6d23) .word(0x020b5aa7) .word(0xfef4d787) +#if !defined(PULP) .word(0x6696f08b) +#endif .word(0x7765019b) .word(0xac20fc3b) .word(0x49246a07) @@ -41254,7 +45060,9 @@ main: .word(0xe3eb4be7) .word(0x2a65aae7) .word(0xf47e2b3b) +#if !defined(PULP) .word(0xa20d787b) +#endif .word(0xea20d953) .word(0x6d9d712f) .word(0xf028ade7) @@ -41264,7 +45072,9 @@ main: .word(0xacba5013) .word(0x7fb949b3) .word(0x1426adeb) +#if !defined(PULP) .word(0x4c10b95b) +#endif .word(0x3a316f2f) .word(0xf7a8e5d3) .word(0xf1b5c5d7) @@ -41279,7 +45089,9 @@ main: .word(0x9616adc3) .word(0x5b8d9233) .word(0x9f668647) +#if !defined(PULP) .word(0xf606ea0b) +#endif .word(0x8378f9a7) .word(0xeb1110d7) .word(0x8d2d3f9b) @@ -41313,7 +45125,9 @@ main: .word(0xf4c324eb) .word(0x889f6527) .word(0x257a8f73) +#if !defined(PULP) .word(0x7615b42b) +#endif .word(0x91840a9b) .word(0xfc97bdbb) .word(0x3e40b2f7) @@ -41325,11 +45139,15 @@ main: .word(0x33b105a7) .word(0x1d2b9e77) .word(0x3d0e6f1b) +#if !defined(PULP) .word(0xca8da6db) +#endif .word(0xf9307177) .word(0xff549de7) .word(0xdcfc67af) +#if !defined(PULP) .word(0x981ff18b) +#endif .word(0x79af5833) .word(0xe64f5813) .word(0xdec2f8cf) @@ -41364,7 +45182,9 @@ main: .word(0xbe70ed47) .word(0x6fe686b3) .word(0xbe0bbdfb) +#if !defined(PULP) .word(0x19c038ab) +#endif .word(0x6b6b31a3) .word(0x2c1c3aa3) .word(0x0414e6e7) @@ -41378,7 +45198,9 @@ main: .word(0xee25add3) .word(0x3632b71b) .word(0x5fa8f3a3) +#if !defined(PULP) .word(0xc973f88b) +#endif .word(0x4b54de23) .word(0x5e1f5bcf) .word(0x328edeaf) @@ -41388,7 +45210,9 @@ main: .word(0xfc10042f) .word(0x42e8f22b) .word(0xe5af0687) +#if !defined(PULP) .word(0x9cbe7d8b) +#endif .word(0x075ff087) .word(0x3fb61943) .word(0x0cc89867) @@ -41423,8 +45247,12 @@ main: .word(0xffcd56e7) .word(0x96114f77) .word(0x08453b77) +#if !defined(PULP) .word(0x768b332b) +#endif +#if !defined(PULP) .word(0x51b211fb) +#endif .word(0xc09321fb) .word(0x7365b7f7) .word(0x61c08c2f) @@ -41466,7 +45294,9 @@ main: .word(0xd8f914f7) .word(0xcebd1593) .word(0xbefecb9b) +#if !defined(PULP) .word(0x7162f98b) +#endif .word(0xda5d3de7) .word(0x9602ea07) .word(0xf4e40a87) @@ -41475,7 +45305,9 @@ main: .word(0xe5e2b277) .word(0x96a441c7) .word(0xb7ca6dd7) +#if !defined(PULP) .word(0x045df2fb) +#endif .word(0x60579d67) .word(0x58e74323) .word(0x2b6cbe83) @@ -41498,17 +45330,23 @@ main: .word(0x931e763b) .word(0x5f835daf) .word(0xcd3c6507) +#if !defined(PULP) .word(0x35606f0b) +#endif .word(0x40e7982f) .word(0xe0d2a13b) .word(0x53df7d2b) .word(0x6dc553a7) .word(0xebc28a1b) +#if !defined(PULP) .word(0x136667fb) +#endif .word(0x0634f4bb) .word(0xb71bc5bb) .word(0x5da53d3b) +#if !defined(PULP) .word(0x38fa3dab) +#endif .word(0xabd7c4d3) .word(0xf9b83ceb) .word(0xfa3b69eb) @@ -41527,7 +45365,9 @@ main: .word(0xccd37bf7) .word(0xafc61693) .word(0x18eb6827) +#if !defined(PULP) .word(0xd6bab25b) +#endif .word(0x5d56dfab) .word(0x7ad5e81b) .word(0x2ea213cb) @@ -41549,12 +45389,16 @@ main: .word(0x9f17faab) .word(0xf81b4fe7) .word(0x4e72d8c7) +#if !defined(PULP) .word(0xdb94bcdb) +#endif .word(0x1d1a406b) .word(0x8cb58a1b) .word(0xb3612af7) .word(0xb9c86667) +#if !defined(PULP) .word(0x455dff7b) +#endif .word(0x781a83bb) .word(0x38dbc0f7) .word(0x4217221b) @@ -41573,7 +45417,9 @@ main: .word(0xe5c09b93) .word(0x2e340e77) .word(0xc5ce7ee7) +#if !defined(PULP) .word(0x35760cfb) +#endif .word(0x1cf7e9af) .word(0xcd68b9fb) .word(0x3bde51f7) @@ -41584,7 +45430,9 @@ main: .word(0x3c1034d3) .word(0xab7d0b87) .word(0x7e7149a7) +#if !defined(PULP) .word(0xaa49e28b) +#endif .word(0x061899d3) .word(0x1795f903) .word(0x7469d107) @@ -41594,7 +45442,9 @@ main: .word(0xcf3b364b) .word(0x4ec74e4b) .word(0x65325313) +#if !defined(PULP) .word(0x689e24db) +#endif .word(0xebc65a77) .word(0x688b15e7) .word(0x0f68d457) @@ -41622,13 +45472,17 @@ main: .word(0x3e8dc0c7) .word(0xe90e39e7) .word(0xfcb9bb2b) +#if !defined(PULP) .word(0x6e1e31db) +#endif .word(0xda6ac467) .word(0x775f1713) .word(0x6923989b) .word(0x311cd933) .word(0x3c8570a7) +#if !defined(PULP) .word(0x2221fbfb) +#endif .word(0x7a21ba67) .word(0xdb35d267) .word(0xf951c5a3) @@ -41638,13 +45492,19 @@ main: .word(0xf0faa57b) .word(0x55cb7b9b) .word(0x34bf357b) +#if !defined(PULP) .word(0x63a46e8b) +#endif .word(0x541ee753) .word(0x22527783) .word(0x6556851b) .word(0x79bc707b) +#if !defined(PULP) .word(0x18fec6ab) +#endif +#if !defined(PULP) .word(0xb3bef10b) +#endif .word(0x4f42b89b) .word(0xab7437fb) .word(0xd28c0da7) @@ -41671,7 +45531,9 @@ main: .word(0xdb940587) .word(0x7649721b) .word(0x0f4bb17b) +#if !defined(PULP) .word(0xa937edfb) +#endif .word(0xa838eebb) .word(0x676b09d7) .word(0x342efaab) @@ -41685,7 +45547,9 @@ main: .word(0xcdbb057b) .word(0x8747f52b) .word(0xb02faebb) +#if !defined(PULP) .word(0x33d3f70b) +#endif .word(0x96e6f09b) .word(0x30b5b02f) .word(0x6e25eed7) @@ -41710,11 +45574,15 @@ main: .word(0xb318bde7) .word(0x604bbb2f) .word(0x77b20a3b) +#if !defined(PULP) .word(0xf533778b) +#endif .word(0x65089a2f) .word(0xa0a3d767) .word(0x550fbbaf) +#if !defined(PULP) .word(0x366b6d7b) +#endif .word(0xbf77ab1b) .word(0xf5eb8607) .word(0x1f6b9e33) @@ -41817,8 +45685,12 @@ main: .word(0xe0ddc727) .word(0x2ebaa657) .word(0xaae1386b) +#if !defined(PULP) .word(0x6f3b638b) +#endif +#if !defined(PULP) .word(0x93fcf7fb) +#endif .word(0xc7b2fef7) .word(0x32b53103) .word(0x11e76887) @@ -41844,7 +45716,9 @@ main: .word(0x3f4452d3) .word(0x746cfe1b) .word(0x6656a4eb) +#if !defined(PULP) .word(0x5713720b) +#endif .word(0x387d5b9b) .word(0x84854b33) .word(0x7ea4c757) @@ -41856,23 +45730,31 @@ main: .word(0xa8402ad3) .word(0x763b4c77) .word(0xcdb4096b) +#if !defined(PULP) .word(0xa1d0797b) +#endif .word(0xee68d133) +#if !defined(PULP) .word(0x25a46a7b) +#endif .word(0xf4350bd7) .word(0x2dd58a6b) .word(0x156c0e2f) .word(0xf7c21de7) .word(0x746c4b3b) .word(0x9ae66beb) +#if !defined(PULP) .word(0x84cf658b) +#endif .word(0xc960d953) .word(0xc527d79b) .word(0x86870bd3) .word(0xf73b14fb) .word(0xe60589fb) .word(0x0b6182f3) +#if !defined(PULP) .word(0xb86cf30b) +#endif .word(0x1e1227cf) .word(0x7e4b5c77) .word(0x9b2d1d2f) @@ -41902,7 +45784,9 @@ main: .word(0xfeda682f) .word(0x9ad0cc27) .word(0x7980ebe7) +#if !defined(PULP) .word(0x3bfde60b) +#endif .word(0xbedddec3) .word(0x676c0bbb) .word(0x81b7db77) @@ -41943,7 +45827,9 @@ main: .word(0x5329cd07) .word(0xcc0e9707) .word(0x7b2fc3bb) +#if !defined(PULP) .word(0x125eb2ab) +#endif .word(0xcc58e223) .word(0xe6a7f027) .word(0xc2e13d6b) @@ -41953,14 +45839,18 @@ main: .word(0x629a13a7) .word(0x38d5b6f7) .word(0x5c66a9bb) +#if !defined(PULP) .word(0x5a0430db) +#endif .word(0x1817a83b) .word(0x55e1191b) .word(0x4785666b) .word(0xd8f20bd7) .word(0x138ebb6b) .word(0x63a4e887) +#if !defined(PULP) .word(0xeede2d5b) +#endif .word(0x18d456af) .word(0xe317c4ab) .word(0x4867133b) @@ -41990,10 +45880,14 @@ main: .word(0xcc9d21d3) .word(0x54455a13) .word(0x934e53a7) +#if !defined(PULP) .word(0xee96462b) +#endif .word(0x2a741127) .word(0xf23d7033) +#if !defined(PULP) .word(0x89421d7b) +#endif .word(0x31145b87) .word(0x8514d487) .word(0xe9575757) @@ -42038,7 +45932,9 @@ main: .word(0x8f22e3d7) .word(0xc269c307) .word(0x0eaf004b) +#if !defined(PULP) .word(0xee297c0b) +#endif .word(0x8daa096b) .word(0x1f8ed6d3) .word(0x4b026bf7) @@ -42050,7 +45946,9 @@ main: .word(0x71a195af) .word(0x193350bb) .word(0x9f2c7c27) +#if !defined(PULP) .word(0xfd39a75b) +#endif .word(0xbff21747) .word(0x234759a3) .word(0xd101cceb) @@ -42085,7 +45983,9 @@ main: .word(0x576b7307) .word(0x9bee153b) .word(0xbb04529b) +#if !defined(PULP) .word(0x1c93600b) +#endif .word(0x510bcaeb) .word(0x57956dc7) .word(0x0c9f3d1b) @@ -42112,7 +46012,9 @@ main: .word(0x0078fa3b) .word(0xa9f2522b) .word(0x16f25243) +#if !defined(PULP) .word(0x5376700b) +#endif .word(0x02c1cf2f) .word(0x71cd25d7) .word(0xcdb7c807) @@ -42132,12 +46034,16 @@ main: .word(0xfc23336b) .word(0x77cfb59b) .word(0x4bf1261b) +#if !defined(PULP) .word(0x82b2667b) +#endif .word(0x1b95ac7b) .word(0x02b400d7) .word(0x4c9099d3) .word(0x830e42d7) +#if !defined(PULP) .word(0xe0ca2adb) +#endif .word(0x2d247723) .word(0x454b3ff7) .word(0xcc40a11b) @@ -42169,7 +46075,9 @@ main: .word(0x65ddfca7) .word(0x8055743b) .word(0x7fa9cb47) +#if !defined(PULP) .word(0x934872fb) +#endif .word(0xfe1988d3) .word(0x51f0476b) .word(0xd1a97757) @@ -42192,8 +46100,12 @@ main: .word(0xe9cefe2b) .word(0x3363ba3b) .word(0xa6e9b11b) +#if !defined(PULP) .word(0xd6aa738b) +#endif +#if !defined(PULP) .word(0xf149710b) +#endif .word(0xb7762667) .word(0x792cf977) .word(0xd5bf27d7) @@ -42204,13 +46116,17 @@ main: .word(0xe7b72ec3) .word(0x2c785f13) .word(0x3045d913) +#if !defined(PULP) .word(0xf903fd8b) +#endif .word(0xdc47e907) .word(0x4766b9b3) .word(0xaeb7faab) .word(0x3b3563e7) .word(0xd48321d3) +#if !defined(PULP) .word(0x611a2edb) +#endif .word(0x8d76bde7) .word(0x92d617d7) .word(0xf2c8e6ab) @@ -42232,7 +46148,9 @@ main: .word(0xebe06687) .word(0xdb365977) .word(0x5f1074b3) +#if !defined(PULP) .word(0x4641e48b) +#endif .word(0xe3f31793) .word(0x031ecdbb) .word(0x571ff4ab) @@ -42252,7 +46170,9 @@ main: .word(0x3aabeff7) .word(0xa021152f) .word(0x7270d8a7) +#if !defined(PULP) .word(0x695f295b) +#endif .word(0x057af403) .word(0x7e37814b) .word(0xbf43ead7) @@ -42276,11 +46196,15 @@ main: .word(0x2c969ef7) .word(0x4148fda3) .word(0xdae7d1eb) +#if !defined(PULP) .word(0x436a285b) +#endif .word(0xcbce5607) .word(0x01e1df9b) .word(0x6eaec533) +#if !defined(PULP) .word(0xa9f1fb8b) +#endif .word(0x90a601d3) .word(0x7eca6a6b) .word(0xcac6b3bb) @@ -42319,7 +46243,9 @@ main: .word(0x9c1ba167) .word(0xb3f36133) .word(0xf8904467) +#if !defined(PULP) .word(0xe3bbf38b) +#endif .word(0x39e8e477) .word(0x4112b71b) .word(0x500d1bd3) @@ -42335,12 +46261,16 @@ main: .word(0x58faf9a3) .word(0xdb43dc6b) .word(0x7a50ad3b) +#if !defined(PULP) .word(0x10176f8b) +#endif .word(0x06fcc957) .word(0xf6e5102f) .word(0xadbbecab) .word(0x1ed6f96b) +#if !defined(PULP) .word(0x38c0697b) +#endif .word(0xb0d858ab) .word(0x0a9ca9eb) .word(0x3d7319bb) @@ -42364,7 +46294,9 @@ main: .word(0x78f7b757) .word(0xa5d25767) .word(0x3c22169b) +#if !defined(PULP) .word(0x4f5cfafb) +#endif .word(0x360053e7) .word(0x3d9edba3) .word(0x8d2a26af) @@ -42406,13 +46338,17 @@ main: .word(0x9b6afa9b) .word(0x4e6247a7) .word(0x5347fce7) +#if !defined(PULP) .word(0xcde7700b) +#endif .word(0x95e3bbfb) .word(0x07f661f7) .word(0xa014be03) .word(0xb87189eb) .word(0xd0433bab) +#if !defined(PULP) .word(0x8fcd780b) +#endif .word(0x40f1d7d3) .word(0x636266eb) .word(0x5761b3eb) @@ -42424,7 +46360,9 @@ main: .word(0x5dbc6aab) .word(0xfb24e8e7) .word(0x1d0c7c83) +#if !defined(PULP) .word(0xe73caa5b) +#endif .word(0x37918907) .word(0x93503e8b) .word(0xcdc4af9b) @@ -42446,7 +46384,9 @@ main: .word(0xb6330153) .word(0x46f63277) .word(0xbf8ebf2b) +#if !defined(PULP) .word(0xc0f4ea0b) +#endif .word(0x2970b6fb) .word(0xe76d84eb) .word(0x87d18f7b) @@ -42457,10 +46397,14 @@ main: .word(0xc972de27) .word(0x6e1d372f) .word(0x44bcc8f7) +#if !defined(PULP) .word(0xe655c62b) +#endif .word(0x94a642ab) .word(0x2c676a77) +#if !defined(PULP) .word(0xa37e768b) +#endif .word(0x67f7a347) .word(0x59efdd23) .word(0xc5f4c3f3) @@ -42474,12 +46418,18 @@ main: .word(0x2eead5b3) .word(0xba09f49b) .word(0x2f45314f) +#if !defined(PULP) .word(0x8961618b) +#endif .word(0xa2c09cbb) .word(0x67276943) +#if !defined(PULP) .word(0x51372ddb) +#endif .word(0xf37a36e7) +#if !defined(PULP) .word(0x51b8e68b) +#endif .word(0x296e4cf3) .word(0x7e23f2a7) .word(0xea5adbe7) @@ -42495,7 +46445,9 @@ main: .word(0xf372b583) .word(0x61b89e27) .word(0x7e7f821b) +#if !defined(PULP) .word(0x5467b05b) +#endif .word(0x9ccd3f1b) .word(0x90450e87) .word(0xd182fae7) @@ -42532,7 +46484,9 @@ main: .word(0x29f06a33) .word(0x3eb0f64f) .word(0x5483e287) +#if !defined(PULP) .word(0x482e750b) +#endif .word(0xd8a9ffaf) .word(0x999b6cb3) .word(0x63bb8433) @@ -42549,7 +46503,9 @@ main: .word(0xa274b02f) .word(0xd527047b) .word(0xf6be3e2f) +#if !defined(PULP) .word(0xe60726db) +#endif .word(0x8d840e7b) .word(0xbb52fc9b) .word(0xfe2d6f53) @@ -42557,7 +46513,9 @@ main: .word(0xf2e316d3) .word(0xc6c90507) .word(0x34ed6e87) +#if !defined(PULP) .word(0x5d2646ab) +#endif .word(0x7e11b8a3) .word(0x691e76b3) .word(0x4357cb87) @@ -42595,7 +46553,9 @@ main: .word(0x3c72d9f7) .word(0xdcb4c3bb) .word(0x7fc7cdcb) +#if !defined(PULP) .word(0x6b4622db) +#endif .word(0x9908b12f) .word(0xcbc260a3) .word(0x5420e3d3) @@ -42616,14 +46576,18 @@ main: .word(0xf69d4807) .word(0xa95b1827) .word(0xfc5c1fbb) +#if !defined(PULP) .word(0x661a7f0b) +#endif .word(0x2ad54b67) .word(0x5fa77d03) .word(0x309f282f) .word(0x5b9417e7) .word(0x60507183) .word(0x7c5b8ab3) +#if !defined(PULP) .word(0x598838db) +#endif .word(0x4d924167) .word(0x6f0207b3) .word(0x63b42c53) @@ -42647,13 +46611,17 @@ main: .word(0x85e6c1d3) .word(0x5e2b3b4f) .word(0x9b8098a7) +#if !defined(PULP) .word(0xd745660b) +#endif .word(0xc504f0af) .word(0x62cb5557) .word(0x7924f2b3) .word(0x1a97a133) .word(0x25c9283b) +#if !defined(PULP) .word(0x14ffe87b) +#endif .word(0xd71ea47b) .word(0x93ef5f1b) .word(0xbed82b4f) @@ -42666,7 +46634,9 @@ main: .word(0x9e5dd74f) .word(0xb62d27d3) .word(0x34aa7807) +#if !defined(PULP) .word(0x18e27efb) +#endif .word(0x98976e07) .word(0x9eb21b7b) .word(0x23c19feb) @@ -42688,7 +46658,9 @@ main: .word(0x457646bb) .word(0xc9c85027) .word(0x870fcf4f) +#if !defined(PULP) .word(0x5960355b) +#endif .word(0xe26d3183) .word(0x7e94b9f7) .word(0xfec8f647) @@ -42711,12 +46683,18 @@ main: .word(0xe47ca3e7) .word(0x46568daf) .word(0x0ff47567) +#if !defined(PULP) .word(0xdf1ee18b) +#endif .word(0x1d1a48e7) .word(0x90c01e77) +#if !defined(PULP) .word(0x606927db) +#endif .word(0x22a99ea7) +#if !defined(PULP) .word(0x4a8be60b) +#endif .word(0x0d852a57) .word(0x21bae223) .word(0xece472a3) @@ -42789,7 +46767,9 @@ main: .word(0xa5033757) .word(0x518b786b) .word(0xe7c3744f) +#if !defined(PULP) .word(0x5660e88b) +#endif .word(0x69c7e0e7) .word(0x88cff127) .word(0x3f88939b) @@ -42800,7 +46780,9 @@ main: .word(0xcd6640f3) .word(0x056c48a7) .word(0x0d6f0b77) +#if !defined(PULP) .word(0x7660780b) +#endif .word(0xc1688a73) .word(0x2e0c7633) .word(0xc309ef67) @@ -42840,7 +46822,9 @@ main: .word(0x3c9a3da3) .word(0x714319fb) .word(0x62378d53) +#if !defined(PULP) .word(0x7ea4f60b) +#endif .word(0xb8f74407) .word(0xb6428127) .word(0xdc26ed87) @@ -42868,13 +46852,19 @@ main: .word(0xedc84d1b) .word(0x069b384f) .word(0x0315ba0b) +#if !defined(PULP) .word(0x4b403b5b) +#endif .word(0x237c9113) +#if !defined(PULP) .word(0xd430f28b) +#endif .word(0x35d5c26b) .word(0xa5ba7d6b) .word(0xd7104827) +#if !defined(PULP) .word(0x6c3d630b) +#endif .word(0xfdd0eab3) .word(0xc6046d33) .word(0xafb37c1b) @@ -42883,12 +46873,16 @@ main: .word(0xbb21fa83) .word(0xf4fdf67b) .word(0x7dfdf0a3) +#if !defined(PULP) .word(0xd7a32c5b) +#endif .word(0xbbb1c007) .word(0x6fd779fb) .word(0x1c3bec3b) .word(0xb4cdc767) +#if !defined(PULP) .word(0x2856fe0b) +#endif .word(0x24afbb9b) .word(0xe718b553) .word(0xb2e51cb3) @@ -42904,17 +46898,23 @@ main: .word(0xdc2c199b) .word(0x0e53854f) .word(0x9fd7ff1b) +#if !defined(PULP) .word(0xda33e38b) +#endif .word(0x4f2d4377) .word(0x129d549b) .word(0xd226ff3b) .word(0x0c436777) .word(0x28245087) +#if !defined(PULP) .word(0x5703205b) +#endif .word(0xf06c97a7) .word(0xdbd4822f) .word(0xab56bdbb) +#if !defined(PULP) .word(0x652504fb) +#endif .word(0xa665c653) .word(0xa8e6a36b) .word(0x3310d727) @@ -42932,12 +46932,20 @@ main: .word(0x52ef9a87) .word(0x834612e7) .word(0xff409827) +#if !defined(PULP) .word(0xcbf9a15b) +#endif +#if !defined(PULP) .word(0x34976c7b) +#endif .word(0xd7f46cc3) .word(0x1ecb97d3) +#if !defined(PULP) .word(0xfe087f0b) +#endif +#if !defined(PULP) .word(0x7c14690b) +#endif .word(0x66107287) .word(0xb2253d3b) .word(0xbadc4587) @@ -42990,7 +46998,9 @@ main: .word(0xf6866b4b) .word(0xbe04be33) .word(0x3184d967) +#if !defined(PULP) .word(0xde91a0db) +#endif .word(0x1017b76b) .word(0x04725be7) .word(0x4b10bf1b) @@ -43013,7 +47023,9 @@ main: .word(0xe9c86623) .word(0x885ecaa7) .word(0xb3853103) +#if !defined(PULP) .word(0xcb60648b) +#endif .word(0xfa4d1327) .word(0x3afe339b) .word(0xe5635753) @@ -43053,7 +47065,9 @@ main: .word(0x398da253) .word(0xa1c839ab) .word(0x4dd83c57) +#if !defined(PULP) .word(0x91a37a0b) +#endif .word(0x660288cb) .word(0x79e304eb) .word(0x9a4af3eb) @@ -43065,12 +47079,16 @@ main: .word(0x18b14633) .word(0x17545abb) .word(0xfd47f857) +#if !defined(PULP) .word(0x4438698b) +#endif .word(0xe65b5657) .word(0x67f7c1f7) .word(0xfdd7fd03) .word(0xa7cb3fc7) +#if !defined(PULP) .word(0x2c5bea7b) +#endif .word(0x2f8883d3) .word(0xfd825f3b) .word(0xc9f3b93b) @@ -43090,7 +47108,9 @@ main: .word(0x360802a7) .word(0xbdb4e62b) .word(0xfb3540f3) +#if !defined(PULP) .word(0x5a7634ab) +#endif .word(0x960ed107) .word(0x7ff201c7) .word(0xd6eadb9b) @@ -43098,21 +47118,27 @@ main: .word(0xc7aa4a73) .word(0xde8361b3) .word(0xb5ac9b93) +#if !defined(PULP) .word(0xe3dfc7ab) +#endif .word(0x8bbcdd23) .word(0xe7242feb) .word(0x5b8f4607) .word(0xbe43fd2f) .word(0x011a01bb) .word(0x83d10207) +#if !defined(PULP) .word(0x408afafb) +#endif .word(0x2f4f44a7) .word(0x3bb5f007) .word(0x870b7433) .word(0xf5a24f6b) .word(0xa0cc1d67) .word(0xc0d67f03) +#if !defined(PULP) .word(0x2c41b42b) +#endif .word(0x02f01827) .word(0x72281ceb) .word(0x48d15de7) @@ -43156,7 +47182,9 @@ main: .word(0xc25e14d3) .word(0x71908af7) .word(0x652ce0a7) +#if !defined(PULP) .word(0x01b29dfb) +#endif .word(0x2d93c1bb) .word(0xc3034823) .word(0xd3bc553b) @@ -43203,7 +47231,9 @@ main: .word(0x3d234ae7) .word(0x5a168d3b) .word(0x9c20ee7b) +#if !defined(PULP) .word(0x0fae7e8b) +#endif .word(0xa14208a7) .word(0x967b0d2f) .word(0x440be5e7) @@ -43224,14 +47254,18 @@ main: .word(0xe2a254a3) .word(0x0848b7bb) .word(0x58235677) +#if !defined(PULP) .word(0xd6db20db) +#endif .word(0x348fe787) .word(0x767b9477) .word(0xf266daa3) .word(0x2e6b8a3b) .word(0x97c6a7bb) .word(0xe8bfd833) +#if !defined(PULP) .word(0x40adb1ab) +#endif .word(0x1ce8a2eb) .word(0xb1ce6c67) .word(0x067ab77b) @@ -43317,7 +47351,9 @@ main: .word(0x5459e6d3) .word(0x86ac384f) .word(0x1a50f6ab) +#if !defined(PULP) .word(0x5d48fd8b) +#endif .word(0x3343d2a3) .word(0x6fdb88b3) .word(0xe6d7a053) @@ -43345,18 +47381,24 @@ main: .word(0x6dc35413) .word(0x710834af) .word(0x2b5737b3) +#if !defined(PULP) .word(0xee7eacdb) +#endif .word(0xae2c4887) .word(0x9f33d1c3) .word(0xe8c539f7) .word(0xcb7b45af) .word(0x37b692d7) .word(0xf6965687) +#if !defined(PULP) .word(0xfbadac5b) +#endif .word(0x5f3a6253) .word(0x8f793677) .word(0x6f9e5f23) +#if !defined(PULP) .word(0xd978fa0b) +#endif .word(0x44257f6b) .word(0x7d3537ab) .word(0xb1d58a07) @@ -43405,7 +47447,9 @@ main: .word(0xbcd65923) .word(0x3ff9e93b) .word(0xceee9e43) +#if !defined(PULP) .word(0x2de698fb) +#endif .word(0x8a083983) .word(0xa4a5f5b3) .word(0x5cc2deaf) @@ -43419,14 +47463,20 @@ main: .word(0x98e8faa7) .word(0xe98530e7) .word(0xc82e8387) +#if !defined(PULP) .word(0x108ef6fb) +#endif .word(0x84a3e387) .word(0x46db3fbb) .word(0x7788cb77) +#if !defined(PULP) .word(0x7bf23b5b) +#endif .word(0xfb142f53) .word(0x5071f69b) +#if !defined(PULP) .word(0x88d2670b) +#endif .word(0x303a4abb) .word(0x3c6260eb) .word(0x62e1a667) @@ -43458,7 +47508,9 @@ main: .word(0x292a41b3) .word(0xd1506e67) .word(0x855ebf03) +#if !defined(PULP) .word(0x982c927b) +#endif .word(0x836af89b) .word(0xbe33c953) .word(0xcc5364f7) @@ -43500,7 +47552,9 @@ main: .word(0x97ff8653) .word(0xf1c302d7) .word(0x9d9ad16b) +#if !defined(PULP) .word(0x5b85bcdb) +#endif .word(0x260c1347) .word(0x55b49793) .word(0x58561987) @@ -43520,7 +47574,9 @@ main: .word(0xc07b5bf7) .word(0xddee28d7) .word(0xdc9b24e7) +#if !defined(PULP) .word(0x54522afb) +#endif .word(0x9f135b87) .word(0x83a553d7) .word(0x0c8ab43b) @@ -43612,7 +47668,9 @@ main: .word(0xc72d32d3) .word(0x13a30777) .word(0x68a8712b) +#if !defined(PULP) .word(0x5aa62fdb) +#endif .word(0x933777f7) .word(0x0f841bcf) .word(0xedc0817b) @@ -43631,7 +47689,9 @@ main: .word(0x0fe0aa43) .word(0x9fc05a6b) .word(0x0e56a6e7) +#if !defined(PULP) .word(0x315ffa7b) +#endif .word(0x13796e27) .word(0x53e5c477) .word(0x731d357b) @@ -43651,18 +47711,26 @@ main: .word(0xb02573f7) .word(0x8968822f) .word(0x8dab8a7b) +#if !defined(PULP) .word(0xcd7b2d5b) +#endif .word(0xadfb4f2f) .word(0x1e34ec2b) .word(0x9a324567) +#if !defined(PULP) .word(0x459f628b) +#endif .word(0x820ebb3b) .word(0xd7bb3c2f) .word(0x7d059453) +#if !defined(PULP) .word(0xf5d56f8b) +#endif .word(0xccdac0eb) .word(0x2f8022d3) +#if !defined(PULP) .word(0x5b2be87b) +#endif .word(0x2dbe36bb) .word(0x628a1133) .word(0x33db3233) @@ -43674,7 +47742,9 @@ main: .word(0xe9437ceb) .word(0x55245207) .word(0x9399ce2b) +#if !defined(PULP) .word(0x137c690b) +#endif .word(0x8314a777) .word(0xecd7ea1b) .word(0x7bae5d13) @@ -43699,7 +47769,9 @@ main: .word(0x7fca0977) .word(0x39a50aaf) .word(0x614b753b) +#if !defined(PULP) .word(0xec6a355b) +#endif .word(0xdc9d3c23) .word(0x4d33c273) .word(0x1f0978bb) @@ -43714,7 +47786,9 @@ main: .word(0x2d7c9a27) .word(0xd546b12f) .word(0x5740ff67) +#if !defined(PULP) .word(0x17246a0b) +#endif .word(0xcdb17e9b) .word(0x0187e9f7) .word(0x60051f6b) @@ -43723,12 +47797,18 @@ main: .word(0x906ee26b) .word(0xfd99f927) .word(0x5be7b653) +#if !defined(PULP) .word(0x609cf47b) +#endif .word(0x96fc244b) .word(0x160cf51b) .word(0xee33ff83) +#if !defined(PULP) .word(0x5342fe7b) +#endif +#if !defined(PULP) .word(0xe095688b) +#endif .word(0x9ddf3d1b) .word(0x7ccbe1a3) .word(0x0c35f707) @@ -43739,7 +47819,9 @@ main: .word(0x1327d0bb) .word(0x425b3283) .word(0x8cb3cb57) +#if !defined(PULP) .word(0x22a2728b) +#endif .word(0x41d1f9d3) .word(0xc64198cf) .word(0x77d372a3) @@ -43768,24 +47850,32 @@ main: .word(0x3afff1a7) .word(0x07eeeecb) .word(0x45b3e607) +#if !defined(PULP) .word(0x8286f57b) +#endif .word(0x9e67133b) .word(0x6cc19293) .word(0x0f0cc8eb) .word(0x6ea7d82f) .word(0x673a7253) +#if !defined(PULP) .word(0x885a987b) +#endif .word(0x767bf59b) .word(0x55e58927) .word(0x35715f3b) .word(0x651ded9b) .word(0x48e27933) .word(0x20279caf) +#if !defined(PULP) .word(0x0b5a727b) +#endif .word(0x04e741ab) .word(0x0c4ac23b) .word(0xb55f6657) +#if !defined(PULP) .word(0x016c097b) +#endif .word(0x3712e93b) .word(0x56af3c7b) .word(0xa55b7e23) @@ -43809,10 +47899,14 @@ main: .word(0x67a2e6af) .word(0xf16f259b) .word(0x61cdfeaf) +#if !defined(PULP) .word(0x545f728b) +#endif .word(0x33293e1b) .word(0x30834567) +#if !defined(PULP) .word(0xf78522db) +#endif .word(0x9c42f507) .word(0xd439009b) .word(0x1f94a0fb) @@ -43858,7 +47952,9 @@ main: .word(0x603d2f7b) .word(0x720093e7) .word(0xacf256bb) +#if !defined(PULP) .word(0x714934db) +#endif .word(0x95ee376b) .word(0x6f695ec3) .word(0x84a8d3a7) @@ -43873,7 +47969,9 @@ main: .word(0x59606b27) .word(0x4ccb24f7) .word(0x34b26b1b) +#if !defined(PULP) .word(0x140fe60b) +#endif .word(0xf5b2f27b) .word(0x4e73b933) .word(0x23cef807) @@ -43902,7 +48000,9 @@ main: .word(0x3f8f821b) .word(0x78f4632f) .word(0x57eeb46b) +#if !defined(PULP) .word(0xf24b0cfb) +#endif .word(0xe800bd6b) .word(0xe3fa5da3) .word(0x9fb4432f) @@ -43913,7 +48013,9 @@ main: .word(0xe737e4cb) .word(0xb6f79b13) .word(0x0b4cde93) +#if !defined(PULP) .word(0xa6ae788b) +#endif .word(0x0600b8bb) .word(0xe19b4927) .word(0x7c1733f7) @@ -43929,7 +48031,9 @@ main: .word(0xfb2766f7) .word(0x3ad2d8ab) .word(0x99ced127) +#if !defined(PULP) .word(0xfb37fa0b) +#endif .word(0x6bb0a067) .word(0x58714d07) .word(0xfd3fdb2f) @@ -43958,7 +48062,9 @@ main: .word(0x6431ba6b) .word(0x78259f9b) .word(0x20cd3d6b) +#if !defined(PULP) .word(0xa792c72b) +#endif .word(0x96d332fb) .word(0xe732c5cf) .word(0xf75a3547) @@ -43979,7 +48085,9 @@ main: .word(0xcf8a29c3) .word(0x566ae2af) .word(0x682d64e7) +#if !defined(PULP) .word(0x5ed3e58b) +#endif .word(0xe07fc42f) .word(0xc420fd9b) .word(0x34973357) @@ -44011,9 +48119,13 @@ main: .word(0xedd20777) .word(0x2f4d4ac3) .word(0x4d7af607) +#if !defined(PULP) .word(0x44327c0b) +#endif .word(0x836ff567) +#if !defined(PULP) .word(0x59d7fb8b) +#endif .word(0x6a0f751b) .word(0x3d0f4187) .word(0xce1dee6b) @@ -44021,12 +48133,18 @@ main: .word(0xc4efb12b) .word(0x5300b7e7) .word(0xa8e330a3) +#if !defined(PULP) .word(0x81f9f4fb) +#endif .word(0x2359511b) .word(0x9e866c53) +#if !defined(PULP) .word(0xd1e3757b) +#endif .word(0x8d63c357) +#if !defined(PULP) .word(0xaf83ee8b) +#endif .word(0x86d202f3) .word(0xa156b067) .word(0xec515f23) @@ -44042,7 +48160,9 @@ main: .word(0x095af82f) .word(0xcfa9aecb) .word(0x62af077b) +#if !defined(PULP) .word(0x342a73fb) +#endif .word(0x9e8fd5a7) .word(0x48197ae7) .word(0x2732024f) @@ -44092,7 +48212,9 @@ main: .word(0xbcf0cfd3) .word(0x761ea867) .word(0xced5f4cf) +#if !defined(PULP) .word(0xf8cf3bdb) +#endif .word(0x0c90f2eb) .word(0xdbd4ddb3) .word(0xe1f9bf6b) @@ -44102,7 +48224,9 @@ main: .word(0x87800b73) .word(0x7987cad7) .word(0x2127e6a7) +#if !defined(PULP) .word(0x52f7737b) +#endif .word(0xbbe6b22f) .word(0x7cbecfa3) .word(0x8e90d9f7) @@ -44120,7 +48244,9 @@ main: .word(0x6b3873f7) .word(0xd565e5b3) .word(0xb1c67c1b) +#if !defined(PULP) .word(0x7f55f88b) +#endif .word(0x2fdf03fb) .word(0x2e75ce87) .word(0x35313e3b) @@ -44218,7 +48344,9 @@ main: .word(0x6b55b7a3) .word(0x2610ee23) .word(0x99572367) +#if !defined(PULP) .word(0x6968c6ab) +#endif .word(0xb9fac22b) .word(0x0201da77) .word(0x04683a1b) @@ -44230,7 +48358,9 @@ main: .word(0x87d7919b) .word(0xd15d9493) .word(0x12d0e41b) +#if !defined(PULP) .word(0x74b2302b) +#endif .word(0xcab0ae7b) .word(0x0e9cbc33) .word(0x179c5b53) @@ -44257,7 +48387,9 @@ main: .word(0xd8e6fbbb) .word(0xab411e87) .word(0xb496a957) +#if !defined(PULP) .word(0x2d4f600b) +#endif .word(0xfa8515e7) .word(0x5526e43b) .word(0x60c73333) @@ -44317,7 +48449,9 @@ main: .word(0x7442c6a3) .word(0x0f44744f) .word(0x7275b97b) +#if !defined(PULP) .word(0xa935ea8b) +#endif .word(0x279d4323) .word(0xed2dbdf7) .word(0xda76726b) @@ -44331,7 +48465,9 @@ main: .word(0xe05e80bb) .word(0x904d1167) .word(0xf8fe7b77) +#if !defined(PULP) .word(0x6bf6a2db) +#endif .word(0x94bb321b) .word(0x1d2e13a7) .word(0xf8aa6253) @@ -44348,7 +48484,9 @@ main: .word(0xb53b8773) .word(0xd795a743) .word(0x90105187) +#if !defined(PULP) .word(0x08cb847b) +#endif .word(0xb0c14277) .word(0x6fdb3a2b) .word(0x34655167) @@ -44378,16 +48516,22 @@ main: .word(0xde25ec9b) .word(0x55b7e887) .word(0x3d7bdbb3) +#if !defined(PULP) .word(0x2609462b) +#endif .word(0x2a8f8e2f) .word(0xf8d4c707) .word(0x476b8e9b) +#if !defined(PULP) .word(0x232cf90b) +#endif .word(0xdea749d7) .word(0x4e3b0b33) .word(0xdc946227) .word(0x72e09d1b) +#if !defined(PULP) .word(0xcc766a8b) +#endif .word(0x8ab99807) .word(0x8f0edcaf) .word(0xae54bdd3) @@ -44460,7 +48604,9 @@ main: .word(0x26ebe54f) .word(0x7b0607af) .word(0x38a5422b) +#if !defined(PULP) .word(0x049d717b) +#endif .word(0x7babfb83) .word(0xa77f9dd3) .word(0xd2947707) @@ -44494,17 +48640,23 @@ main: .word(0x3b922cfb) .word(0x2efb7933) .word(0xcd2b53af) +#if !defined(PULP) .word(0xafac770b) +#endif .word(0x278df743) .word(0xce82a567) .word(0xf4438df3) +#if !defined(PULP) .word(0x2c2db6ab) +#endif .word(0x4eaa104b) .word(0x5b0c7e83) .word(0xabdd4057) .word(0xc5732bd3) .word(0x8faa397b) +#if !defined(PULP) .word(0x0333710b) +#endif .word(0x13f3fba7) .word(0x46734807) .word(0xb3a043b3) @@ -44534,7 +48686,9 @@ main: .word(0x0f776cd3) .word(0x778cc743) .word(0x72875d87) +#if !defined(PULP) .word(0x4da4f37b) +#endif .word(0x1ad372b3) .word(0x2bb47307) .word(0x372af91b) @@ -44548,7 +48702,9 @@ main: .word(0x99620c2f) .word(0x39c4d367) .word(0x63d59927) +#if !defined(PULP) .word(0x7337fa8b) +#endif .word(0xa980c033) .word(0xfc1360f7) .word(0x75893abb) @@ -44564,12 +48720,16 @@ main: .word(0x91c097af) .word(0x929ed1eb) .word(0xa00cc977) +#if !defined(PULP) .word(0x7698be5b) +#endif .word(0x225549a7) .word(0x89827dab) .word(0x674597e7) .word(0x113c6977) +#if !defined(PULP) .word(0x6beb22db) +#endif .word(0x6bd25e93) .word(0x29b61e2f) .word(0xc08434e7) @@ -44598,7 +48758,9 @@ main: .word(0x0c91ee23) .word(0xa4e6fb83) .word(0x50a1d423) +#if !defined(PULP) .word(0x4dfc837b) +#endif .word(0x59e7c987) .word(0xa8c0caa7) .word(0xe3ffa867) @@ -44672,7 +48834,9 @@ main: .word(0x313feeab) .word(0x3d98d1a7) .word(0x56274677) +#if !defined(PULP) .word(0xb0aec7ab) +#endif .word(0xa0390c73) .word(0xa6a24f53) .word(0x2f8a6eab) @@ -44690,7 +48854,9 @@ main: .word(0xc20b8bbb) .word(0x8add5387) .word(0x1ef3d8eb) +#if !defined(PULP) .word(0x252f707b) +#endif .word(0x7d1186f3) .word(0x74602b1b) .word(0x14d51b9b) @@ -44749,9 +48915,13 @@ main: .word(0xe644fa3b) .word(0x9e8b6cc3) .word(0x4fa1baab) +#if !defined(PULP) .word(0x608cbd5b) +#endif .word(0x5714dbf7) +#if !defined(PULP) .word(0x376b720b) +#endif .word(0xd26f15a7) .word(0xf59e59d7) .word(0xd02e199b) @@ -44762,7 +48932,9 @@ main: .word(0x772b419b) .word(0xdd2925f7) .word(0x753baabb) +#if !defined(PULP) .word(0xb5387c0b) +#endif .word(0xab0d9b6b) .word(0xef4701a7) .word(0xeb58be83) @@ -44772,7 +48944,9 @@ main: .word(0xeaa1b083) .word(0xced65bcb) .word(0x61e7b30b) +#if !defined(PULP) .word(0x813809fb) +#endif .word(0x2dac1e87) .word(0x16700173) .word(0x14e8673b) @@ -44803,7 +48977,9 @@ main: .word(0xfd75fab3) .word(0x2923a777) .word(0x8fce961b) +#if !defined(PULP) .word(0xc319730b) +#endif .word(0x3bee7dab) .word(0xc1a4b903) .word(0x5734f6a3) @@ -44834,7 +49010,9 @@ main: .word(0x3bb0c207) .word(0xa7f79367) .word(0xb4207d23) +#if !defined(PULP) .word(0xde2230db) +#endif .word(0x72a45e2b) .word(0x69973aab) .word(0x40ec01bb) @@ -44858,7 +49036,9 @@ main: .word(0xcad30c57) .word(0x9b114b53) .word(0x47a43db3) +#if !defined(PULP) .word(0xfc72ed0b) +#endif .word(0xfff8eb9b) .word(0x6d88dfb3) .word(0x526cc423) @@ -44871,7 +49051,9 @@ main: .word(0xd1a1863b) .word(0x9d435377) .word(0x1b55d487) +#if !defined(PULP) .word(0x39e391fb) +#endif .word(0x3d18dc77) .word(0x895bebb3) .word(0xaa3b4623) @@ -44897,7 +49079,9 @@ main: .word(0x12944a57) .word(0x3064aa7b) .word(0x4076ebeb) +#if !defined(PULP) .word(0x7a876e0b) +#endif .word(0xd7add307) .word(0x6fc1c873) .word(0x4a43576b) @@ -44919,8 +49103,12 @@ main: .word(0x01549107) .word(0xdd114167) .word(0x2be95c93) +#if !defined(PULP) .word(0x3ec06f8b) +#endif +#if !defined(PULP) .word(0x282d1b7b) +#endif .word(0xafd2ed07) .word(0x0b05a69b) .word(0x5ce7fffb) @@ -44929,9 +49117,13 @@ main: .word(0x6bea7287) .word(0xb86250a3) .word(0x41a9d9e7) +#if !defined(PULP) .word(0x0dcb6f8b) +#endif .word(0x030366a7) +#if !defined(PULP) .word(0xc5b82edb) +#endif .word(0x3bc45b3b) .word(0x2b0f88d7) .word(0xcd9ba3eb) @@ -44939,7 +49131,9 @@ main: .word(0x7a36af6b) .word(0xcfe1c19b) .word(0x06acee9b) +#if !defined(PULP) .word(0x6a64ebfb) +#endif .word(0xd45e9dd3) .word(0xf2c9ae77) .word(0x0122ee9b) @@ -44948,7 +49142,9 @@ main: .word(0xca55f3ab) .word(0xd638c1a7) .word(0x4c699cbb) +#if !defined(PULP) .word(0x8b64ecfb) +#endif .word(0xed959f57) .word(0xdeaf6b4b) .word(0x2e54924f) @@ -44996,7 +49192,9 @@ main: .word(0x03a8f0a7) .word(0xef8db247) .word(0x3d87e333) +#if !defined(PULP) .word(0x3746670b) +#endif .word(0x72812c67) .word(0x76f0dab3) .word(0xac6ccc73) @@ -45061,13 +49259,17 @@ main: .word(0x23f43beb) .word(0x9f088bc7) .word(0x262e95c3) +#if !defined(PULP) .word(0xac66e20b) +#endif .word(0x260e4427) .word(0x14e00b33) .word(0xce0b77eb) .word(0x32ce6607) .word(0x497be0ab) +#if !defined(PULP) .word(0xc3b47c7b) +#endif .word(0xc04d6f23) .word(0x9effa643) .word(0x7b8e867b) @@ -45076,7 +49278,9 @@ main: .word(0xb44d6767) .word(0x06234eab) .word(0xbb297553) +#if !defined(PULP) .word(0x6d57fc0b) +#endif .word(0xdef8804b) .word(0x8fa9b6c3) .word(0xcad0be0b) @@ -45102,12 +49306,16 @@ main: .word(0xb8463267) .word(0x705035d3) .word(0x856c8427) +#if !defined(PULP) .word(0xf82bfe8b) +#endif .word(0xca9245ab) .word(0x91aadaaf) .word(0x952eb453) .word(0x5aef94b3) +#if !defined(PULP) .word(0xe594f80b) +#endif .word(0x97a07da3) .word(0x67b86943) .word(0xa2994127) @@ -45132,7 +49340,9 @@ main: .word(0x5e3b91cf) .word(0x29228d07) .word(0xf7580a4b) +#if !defined(PULP) .word(0x62606d7b) +#endif .word(0xcd2748f7) .word(0x3ad41633) .word(0xccc29e9b) @@ -45141,7 +49351,9 @@ main: .word(0xf7dfcf43) .word(0xf1a08f07) .word(0xab50c79b) +#if !defined(PULP) .word(0xfbe3b7db) +#endif .word(0x650432ab) .word(0x605b0eaf) .word(0xa7dad66b) @@ -45192,7 +49404,9 @@ main: .word(0x6e3ec9f3) .word(0xa7544c27) .word(0x19635323) +#if !defined(PULP) .word(0xe9832a5b) +#endif .word(0x5db4e06b) .word(0x35672e57) .word(0xdcaa0daf) @@ -45210,9 +49424,13 @@ main: .word(0xf0daa61b) .word(0x6abf4127) .word(0xcabed577) +#if !defined(PULP) .word(0x90fd827b) +#endif .word(0xc060ddaf) +#if !defined(PULP) .word(0xd277e90b) +#endif .word(0x8ac961f7) .word(0x48aa402f) .word(0xe4d188d3) @@ -45244,7 +49462,9 @@ main: .word(0xa8bcb4af) .word(0x1c5838f7) .word(0x62ef3d67) +#if !defined(PULP) .word(0x45fea65b) +#endif .word(0xbf54ed9b) .word(0x0bd65757) .word(0x8fcf19fb) @@ -45273,7 +49493,9 @@ main: .word(0x4ada78a7) .word(0x8034b99b) .word(0xc333b4f7) +#if !defined(PULP) .word(0xd833658b) +#endif .word(0xd110512f) .word(0x73ace6b3) .word(0x9ea23b53) @@ -45309,7 +49531,9 @@ main: .word(0xf7ea639b) .word(0xb9aed877) .word(0x88c34467) +#if !defined(PULP) .word(0xcb7cea0b) +#endif .word(0xc10cb3f7) .word(0x9562752f) .word(0xeb78f4f7) @@ -45323,13 +49547,19 @@ main: .word(0x93da358b) .word(0xbc74fb83) .word(0x1f30299b) +#if !defined(PULP) .word(0x20ab312b) +#endif +#if !defined(PULP) .word(0x0360c72b) +#endif .word(0x97a6c753) .word(0x5a8d483b) .word(0x0f2820c3) .word(0xaf15976b) +#if !defined(PULP) .word(0x601e61fb) +#endif .word(0x7b935ba7) .word(0xb5b4ee6b) .word(0x72f9d657) @@ -45348,7 +49578,9 @@ main: .word(0xf8c037eb) .word(0x54a79467) .word(0x2fd80fd3) +#if !defined(PULP) .word(0x5ee8e98b) +#endif .word(0x769ba2cf) .word(0xa114ce2f) .word(0x457eba57) @@ -45362,7 +49594,9 @@ main: .word(0x62b89ce7) .word(0xf970a3e7) .word(0xdc4d027b) +#if !defined(PULP) .word(0xd77ba4db) +#endif .word(0xd22443af) .word(0x705bf02b) .word(0x61884353) @@ -45382,7 +49616,9 @@ main: .word(0xbe416387) .word(0x343b8c6b) .word(0x1f38c927) +#if !defined(PULP) .word(0xbc717d0b) +#endif .word(0xbaa00b9b) .word(0x95dfe6eb) .word(0xc8d66523) @@ -45407,7 +49643,9 @@ main: .word(0xdefc6f47) .word(0x8215111b) .word(0x5325bea3) +#if !defined(PULP) .word(0x4b6b385b) +#endif .word(0x72c2c26b) .word(0x3a49f5e7) .word(0x41277607) @@ -45418,7 +49656,9 @@ main: .word(0xbe02b3c3) .word(0x6f72eb2b) .word(0xfe99f24b) +#if !defined(PULP) .word(0x4460b75b) +#endif .word(0x28ad2afb) .word(0xfa0a7e6b) .word(0x0f7e3a0b) @@ -45439,7 +49679,9 @@ main: .word(0x083d653b) .word(0x3bfcf187) .word(0x50d7c41b) +#if !defined(PULP) .word(0xe14eacdb) +#endif .word(0x6a296feb) .word(0x24a00427) .word(0x3d5ce72f) @@ -45464,7 +49706,9 @@ main: .word(0xeded7f3b) .word(0xd682a0c7) .word(0xf13f6aab) +#if !defined(PULP) .word(0x15ef197b) +#endif .word(0x4c9140f3) .word(0x9b5932d3) .word(0xedc19587) @@ -45473,7 +49717,9 @@ main: .word(0xfb76fe03) .word(0x810136e7) .word(0x2ada4e27) +#if !defined(PULP) .word(0x11ace87b) +#endif .word(0x0c58c5f7) .word(0x77cb6b3b) .word(0x56cfbf2f) @@ -45533,7 +49779,9 @@ main: .word(0xf7aa9c4b) .word(0x5e0f8bbb) .word(0x1a0d50f7) +#if !defined(PULP) .word(0x920db4ab) +#endif .word(0x7b08b0d7) .word(0x6df8dbaf) .word(0xc72cedd7) @@ -45597,7 +49845,9 @@ main: .word(0x8f597e7b) .word(0x20fa993b) .word(0x8fcf9c93) +#if !defined(PULP) .word(0x5a06ba5b) +#endif .word(0x43effa77) .word(0x865464a7) .word(0x6d3c7c83) @@ -45671,10 +49921,16 @@ main: .word(0xb3717523) .word(0x08bad727) .word(0xb4526aa7) +#if !defined(PULP) .word(0x667b788b) +#endif .word(0xac7129b3) +#if !defined(PULP) .word(0x422265fb) +#endif +#if !defined(PULP) .word(0x3dde7e7b) +#endif .word(0xe6d7d5ab) .word(0x708c30b3) .word(0x7e15f43b) @@ -45691,7 +49947,9 @@ main: .word(0xb1ec3e7b) .word(0xbb2dc177) .word(0x11586233) +#if !defined(PULP) .word(0xf6a6b45b) +#endif .word(0xd58b8ef3) .word(0x4df9bad7) .word(0xc24cba67) @@ -45703,7 +49961,9 @@ main: .word(0x8a56b23b) .word(0xf889733b) .word(0x091a3de7) +#if !defined(PULP) .word(0x927d3c2b) +#endif .word(0x8ae60bf3) .word(0xd0abeffb) .word(0x5f9edb2b) @@ -45716,7 +49976,9 @@ main: .word(0x880340f7) .word(0xde901077) .word(0x748d996b) +#if !defined(PULP) .word(0xfc23f80b) +#endif .word(0xf965eeab) .word(0x985d1bb3) .word(0xd8993003) @@ -45783,7 +50045,9 @@ main: .word(0xd9c7971b) .word(0xb2b6011b) .word(0xed1042d7) +#if !defined(PULP) .word(0xeab6e60b) +#endif .word(0x0fc6c633) .word(0x5075c23b) .word(0x0a2bdcab) @@ -45803,7 +50067,9 @@ main: .word(0xf63b3177) .word(0x003ea6fb) .word(0x436aeba7) +#if !defined(PULP) .word(0xde6aaadb) +#endif .word(0x2590cbab) .word(0x1e77c52f) .word(0x631177ab) @@ -45825,7 +50091,9 @@ main: .word(0xb14debd3) .word(0x66295bc3) .word(0x332d207b) +#if !defined(PULP) .word(0x1b7ffafb) +#endif .word(0x1ebf1a7b) .word(0x601e39a3) .word(0x62e0116b) @@ -45837,10 +50105,16 @@ main: .word(0x9934b87b) .word(0x1f366e07) .word(0x320a51bb) +#if !defined(PULP) .word(0xc6347c8b) +#endif .word(0x2f03b4d3) +#if !defined(PULP) .word(0x0486097b) +#endif +#if !defined(PULP) .word(0x7722385b) +#endif .word(0xe4e1236b) .word(0x031489a7) .word(0x1e964a1b) @@ -45867,7 +50141,9 @@ main: .word(0x793ab36b) .word(0x38d6eb53) .word(0xd3707157) +#if !defined(PULP) .word(0x637af97b) +#endif .word(0x59246b67) .word(0x9cf181fb) .word(0xdd0f4e07) @@ -45883,9 +50159,15 @@ main: .word(0x5dc825bb) .word(0x027e0527) .word(0x8c5b68fb) +#if !defined(PULP) .word(0x7ad9e58b) +#endif +#if !defined(PULP) .word(0xa284798b) +#endif +#if !defined(PULP) .word(0x7b5ae68b) +#endif .word(0xefc8da47) .word(0xbb1dea2b) .word(0xccc7a967) @@ -45935,7 +50217,9 @@ main: .word(0x8f4004f3) .word(0xe6a8b80b) .word(0xf3738deb) +#if !defined(PULP) .word(0x6ccf295b) +#endif .word(0x1eaf43cf) .word(0xc8ecc03b) .word(0xbf249baf) @@ -45953,7 +50237,9 @@ main: .word(0x1e5648d3) .word(0xb257aa9b) .word(0x2aa84cd3) +#if !defined(PULP) .word(0x058d94fb) +#endif .word(0x7ac232a3) .word(0xeff232fb) .word(0xa97fb62f) @@ -45971,7 +50257,9 @@ main: .word(0xdee95943) .word(0x1f0b803b) .word(0x9804669b) +#if !defined(PULP) .word(0x5dd52bdb) +#endif .word(0x28b4f877) .word(0x231bec67) .word(0x67cbccd7) @@ -46007,7 +50295,9 @@ main: .word(0xec28ebd3) .word(0x89844e27) .word(0xdb3f71b3) +#if !defined(PULP) .word(0x4a4de57b) +#endif .word(0x3412f787) .word(0x864b657b) .word(0x1cf6c1e7) @@ -46056,16 +50346,22 @@ main: .word(0xdf2233d7) .word(0x95ad5b1b) .word(0xb535a8e7) +#if !defined(PULP) .word(0x299a8b7b) +#endif .word(0xc9bebdab) .word(0x0792d527) .word(0xbeac196b) .word(0x54e7d733) .word(0xe9ac3fab) +#if !defined(PULP) .word(0x5b19bf2b) +#endif .word(0xf94e403b) .word(0xe4167b53) +#if !defined(PULP) .word(0xf3b233db) +#endif .word(0x7f6d0a9b) .word(0x1c763be7) .word(0x81ffba3b) @@ -46074,12 +50370,16 @@ main: .word(0x87615dc7) .word(0xef9110c7) .word(0x03bf2ce7) +#if !defined(PULP) .word(0xcec67e0b) +#endif .word(0xbcb97f27) .word(0x76763753) .word(0xc78d0a47) .word(0x0e4555bb) +#if !defined(PULP) .word(0x817271fb) +#endif .word(0xffc0c8d7) .word(0x9bf6e467) .word(0x9b9ec9a7) @@ -46093,7 +50393,9 @@ main: .word(0x68a0f9ab) .word(0x5f381fcb) .word(0x15e91d2f) +#if !defined(PULP) .word(0x6ebe3e5b) +#endif .word(0x728f83fb) .word(0xa37894a7) .word(0xeac73d03) @@ -46134,7 +50436,9 @@ main: .word(0xcbd15f3b) .word(0x5e2432cb) .word(0x2d0e41b3) +#if !defined(PULP) .word(0x90ce630b) +#endif .word(0x854b9e77) .word(0x5e9c571b) .word(0x32823233) @@ -46149,7 +50453,9 @@ main: .word(0x735e8bf3) .word(0xcad957b3) .word(0x5009b41b) +#if !defined(PULP) .word(0xc750ee0b) +#endif .word(0xd8bd6d2b) .word(0xa70d1bfb) .word(0x943292d7) @@ -46169,7 +50475,9 @@ main: .word(0x35af2f7b) .word(0x78a71c07) .word(0x0625e643) +#if !defined(PULP) .word(0xda75600b) +#endif .word(0x40f1d9f7) .word(0xaeb4e8c3) .word(0xdfb93ad7) @@ -46183,12 +50491,16 @@ main: .word(0x71c29cd3) .word(0xcf0cc24f) .word(0x7e4b007b) +#if !defined(PULP) .word(0x1081b1ab) +#endif .word(0xd68a58eb) .word(0xa9c6faab) .word(0xd5f8222f) .word(0x9275716b) +#if !defined(PULP) .word(0x279ff38b) +#endif .word(0xcc635c93) .word(0x50f6beaf) .word(0x0f6233b3) @@ -46241,11 +50553,15 @@ main: .word(0x1afc783b) .word(0xf257ac3b) .word(0x118df2af) +#if !defined(PULP) .word(0x09a18a7b) +#endif .word(0xf4341b1b) .word(0xd9489fe7) .word(0x9b5ceebb) +#if !defined(PULP) .word(0x0e10727b) +#endif .word(0xbba047f7) .word(0x4e656967) .word(0x8f91dd2f) @@ -46259,14 +50575,18 @@ main: .word(0x013f3f23) .word(0xfea4a6af) .word(0xd7cf68a7) +#if !defined(PULP) .word(0x46f1e28b) +#endif .word(0x9eeb0d47) .word(0x80b6a7fb) .word(0x86364bab) .word(0x50a35693) .word(0xe65223d7) .word(0xe092a41b) +#if !defined(PULP) .word(0x8de5b52b) +#endif .word(0xd770d267) .word(0x343c711b) .word(0xc9705e07) @@ -46276,8 +50596,12 @@ main: .word(0x75176da3) .word(0x1704b483) .word(0xbd489c13) +#if !defined(PULP) .word(0x9829f60b) +#endif +#if !defined(PULP) .word(0x6644700b) +#endif .word(0xb30da967) .word(0xef8dccab) .word(0xc47ed71b) @@ -46337,7 +50661,9 @@ main: .word(0xae0ab68b) .word(0xb5b9d91b) .word(0xf597f59b) +#if !defined(PULP) .word(0x4714f97b) +#endif .word(0x36fc7577) .word(0x424f642f) .word(0x00f3936b) @@ -46345,7 +50671,9 @@ main: .word(0x099b0fb3) .word(0x1d19286b) .word(0x552f3a83) +#if !defined(PULP) .word(0x25f96d0b) +#endif .word(0xab4770e7) .word(0xf0aa751b) .word(0xea7e1013) @@ -46416,9 +50744,13 @@ main: .word(0x1d68fbe7) .word(0x6fbcc6f3) .word(0x8d3c016b) +#if !defined(PULP) .word(0xba67a9fb) +#endif .word(0x427588d3) +#if !defined(PULP) .word(0xd9a4b45b) +#endif .word(0xfed6f7cf) .word(0x8f8d1b53) .word(0x5150bae7) @@ -46436,7 +50768,9 @@ main: .word(0x70757a27) .word(0xe40df92b) .word(0xd203ad57) +#if !defined(PULP) .word(0x2d397cfb) +#endif .word(0xaf2927fb) .word(0xbef97a2f) .word(0x9eddd007) @@ -46453,7 +50787,9 @@ main: .word(0x915382f7) .word(0x51978373) .word(0x445f2fb3) +#if !defined(PULP) .word(0x3e05647b) +#endif .word(0xcd32bcf7) .word(0x9aef7303) .word(0xd9ff0d07) @@ -46497,7 +50833,9 @@ main: .word(0xff74c777) .word(0x1e8f974b) .word(0x7776082f) +#if !defined(PULP) .word(0xa0d2698b) +#endif .word(0x11739813) .word(0x3e608d57) .word(0xe3af1dd3) @@ -46514,7 +50852,9 @@ main: .word(0x834abb0b) .word(0x54bd7e6b) .word(0x716fd33b) +#if !defined(PULP) .word(0x8aad38ab) +#endif .word(0x4e97c4cb) .word(0x44292f7b) .word(0xacd2e59b) @@ -46528,7 +50868,9 @@ main: .word(0x60d5b5a3) .word(0x566d3223) .word(0xabedd693) +#if !defined(PULP) .word(0x7e96b25b) +#endif .word(0xae940907) .word(0x3863fb2b) .word(0x7ecc413b) @@ -46536,7 +50878,9 @@ main: .word(0x36ffe077) .word(0xbdfad667) .word(0x6125709b) +#if !defined(PULP) .word(0xe2d47a0b) +#endif .word(0xe1816d27) .word(0x20001a1b) .word(0x271a7277) @@ -46549,7 +50893,9 @@ main: .word(0xc410b9eb) .word(0xf8dea36b) .word(0x1d995caf) +#if !defined(PULP) .word(0x50c1117b) +#endif .word(0xbc856a57) .word(0x0ee6e22f) .word(0x2900836b) @@ -46563,14 +50909,18 @@ main: .word(0x7958d7bb) .word(0xd734b1fb) .word(0xae47b5bb) +#if !defined(PULP) .word(0x8d6c3aab) +#endif .word(0x853ee4a7) .word(0xde7585cb) .word(0x826e31d3) .word(0x2eba7c47) .word(0xbec895af) .word(0x9ebfb54f) +#if !defined(PULP) .word(0xd367295b) +#endif .word(0xf8edf3b3) .word(0xa42bfc23) .word(0xe13e442b) @@ -46600,7 +50950,9 @@ main: .word(0x605b7b23) .word(0x9162479b) .word(0x3e81d5a7) +#if !defined(PULP) .word(0x0cab80fb) +#endif .word(0x4eac0c57) .word(0x7b5e3ef7) .word(0xee2236cf) @@ -46639,7 +50991,9 @@ main: .word(0xc2dd151b) .word(0x86c54eb3) .word(0x0ac49687) +#if !defined(PULP) .word(0x39b4eefb) +#endif .word(0xc2f1ba0b) .word(0x7ee85193) .word(0x054f0777) @@ -46661,7 +51015,9 @@ main: .word(0x1d3229e7) .word(0xbdaf4d77) .word(0xeeee0bc7) +#if !defined(PULP) .word(0x1367660b) +#endif .word(0x1b45cc27) .word(0x95cb9067) .word(0x0e0caeb3) @@ -46690,7 +51046,9 @@ main: .word(0xf333ea33) .word(0x54e11657) .word(0x373917bb) +#if !defined(PULP) .word(0x3b8ce1fb) +#endif .word(0x4595f727) .word(0x9a7663a3) .word(0xc68352d3) @@ -46708,10 +51066,14 @@ main: .word(0x5fe6ca23) .word(0xd1311a77) .word(0x5e574833) +#if !defined(PULP) .word(0xbd35ff0b) +#endif .word(0x14ffef3b) .word(0x97b0dbc7) +#if !defined(PULP) .word(0x6020a05b) +#endif .word(0x177568eb) .word(0x4320ff23) .word(0x0cee44b3) @@ -46720,9 +51082,13 @@ main: .word(0xf67dcd27) .word(0x81cb536b) .word(0x92f9d453) +#if !defined(PULP) .word(0x48fafefb) +#endif .word(0x96c8da4b) +#if !defined(PULP) .word(0x00a5127b) +#endif .word(0xf48cd4b3) .word(0xedc07a9b) .word(0x1ed20877) @@ -46751,13 +51117,19 @@ main: .word(0xf303799b) .word(0x219deee7) .word(0xa4704fd7) +#if !defined(PULP) .word(0x4ad2b55b) +#endif .word(0xa15e7be7) .word(0xb093f82f) .word(0x1e006323) +#if !defined(PULP) .word(0x65e965fb) +#endif .word(0x816241ab) +#if !defined(PULP) .word(0x1bfc7a7b) +#endif .word(0x67e75277) .word(0x4a8a78b3) .word(0xb09e64ab) @@ -46772,14 +51144,18 @@ main: .word(0x448a3d23) .word(0xf66ff9b3) .word(0xfcb04bd7) +#if !defined(PULP) .word(0xee3f37db) +#endif .word(0x7cb5d16b) .word(0x63de0357) .word(0xe0b76ae7) .word(0xf741fc4f) .word(0x1aa86e27) .word(0x6139fe6b) +#if !defined(PULP) .word(0x6284bb5b) +#endif .word(0xec727db3) .word(0xe9a68387) .word(0x9c03182f) @@ -46827,7 +51203,9 @@ main: .word(0x26027cc3) .word(0x6f146aab) .word(0xe706358b) +#if !defined(PULP) .word(0x5d29322b) +#endif .word(0x52b53c3b) .word(0xe65501f3) .word(0xa6b340c3) @@ -46836,7 +51214,9 @@ main: .word(0x5c219daf) .word(0xb1ff2beb) .word(0xb0575e33) +#if !defined(PULP) .word(0x45a71bfb) +#endif .word(0x0f4e9e13) .word(0x2c5e4d67) .word(0xa4c1ef87) @@ -46852,15 +51232,27 @@ main: .word(0x18afcc87) .word(0xe0490c73) .word(0x548cd9af) +#if !defined(PULP) .word(0x40a88ffb) +#endif +#if !defined(PULP) .word(0x73d1760b) +#endif +#if !defined(PULP) .word(0x4beac6ab) +#endif .word(0x5eb1cecb) +#if !defined(PULP) .word(0x3725770b) +#endif .word(0x392ed66b) +#if !defined(PULP) .word(0x889bf30b) +#endif .word(0xc593d913) +#if !defined(PULP) .word(0x0c4c38ab) +#endif .word(0xd667fa6b) .word(0xba257bd3) .word(0x854af823) @@ -46907,7 +51299,9 @@ main: .word(0x0323387b) .word(0x2c22bf57) .word(0x5f121157) +#if !defined(PULP) .word(0x48d4e3fb) +#endif .word(0x0fa7e6e7) .word(0x8fa12067) .word(0x45e1d4ab) @@ -46921,13 +51315,17 @@ main: .word(0x03624ceb) .word(0x0ee53feb) .word(0xb55d1267) +#if !defined(PULP) .word(0x00ff14fb) +#endif .word(0x2e5a16e7) .word(0xa1915187) .word(0xc72c3b83) .word(0xf75f75a7) .word(0x86d6db6b) +#if !defined(PULP) .word(0x1f2d758b) +#endif .word(0x9d2d45f3) .word(0x86863db3) .word(0xb4681f93) @@ -47024,7 +51422,9 @@ main: .word(0x3fa5eef7) .word(0xb1c5883b) .word(0x4a680b07) +#if !defined(PULP) .word(0x37997b8b) +#endif .word(0xef0ac9cb) .word(0xe97fbb57) .word(0x2411e71b) @@ -47044,7 +51444,9 @@ main: .word(0xf11d6c2b) .word(0xcf354bc3) .word(0x3884d827) +#if !defined(PULP) .word(0x56d6618b) +#endif .word(0xd2a7fbbb) .word(0xdf5eea47) .word(0xe993309b) @@ -47067,7 +51469,9 @@ main: .word(0xa67a341b) .word(0x102e33af) .word(0xef717cfb) +#if !defined(PULP) .word(0x10907afb) +#endif .word(0x74236f2f) .word(0xc7528473) .word(0xa60dd167) @@ -47081,7 +51485,9 @@ main: .word(0xa512787b) .word(0x06d2fb83) .word(0x9b0d0beb) +#if !defined(PULP) .word(0x181f6e0b) +#endif .word(0x08f0fc6b) .word(0xa63179d3) .word(0x7d6d68d7) @@ -47092,12 +51498,16 @@ main: .word(0xadd68953) .word(0x6ed6fa1b) .word(0x74335d23) +#if !defined(PULP) .word(0x4440f97b) +#endif .word(0x65ae699b) .word(0x850a41bb) .word(0xdde9f0a3) .word(0x0afb4167) +#if !defined(PULP) .word(0x57be295b) +#endif .word(0x5b9a08af) .word(0xc39f7903) .word(0x8c4ac323) @@ -47106,12 +51516,16 @@ main: .word(0x6eebf283) .word(0x1830b233) .word(0x963ae0e7) +#if !defined(PULP) .word(0xd96de30b) +#endif .word(0x45d5e49b) .word(0x3997cceb) .word(0x67f1d0a7) .word(0x9e3ef51b) +#if !defined(PULP) .word(0xd8dc2edb) +#endif .word(0xddb36c9b) .word(0x7f92daaf) .word(0xf3bee2a3) @@ -47142,7 +51556,9 @@ main: .word(0xeb843deb) .word(0x6e40c3af) .word(0x97f2816b) +#if !defined(PULP) .word(0x21a082fb) +#endif .word(0x7e0beacf) .word(0x47d99a93) .word(0xb81e7553) @@ -47159,7 +51575,9 @@ main: .word(0x2b2d3503) .word(0x85b8ab3b) .word(0xb2fc64af) +#if !defined(PULP) .word(0x1846717b) +#endif .word(0x2f43489b) .word(0x71e92bf7) .word(0x2c08851b) @@ -47168,12 +51586,16 @@ main: .word(0xdef52f47) .word(0x08c2e0b3) .word(0x2895e977) +#if !defined(PULP) .word(0xdab8462b) +#endif .word(0xf8ecaceb) .word(0x4cd64e73) .word(0xe64b839b) .word(0x2418de3b) +#if !defined(PULP) .word(0x1870fa0b) +#endif .word(0x0787faab) .word(0xd5c93c57) .word(0x42b35ef7) @@ -47193,7 +51615,9 @@ main: .word(0x8e4407cb) .word(0xe7916efb) .word(0xee783157) +#if !defined(PULP) .word(0x4a2e718b) +#endif .word(0x4d849853) .word(0x1436582b) .word(0x03edec87) @@ -47217,7 +51641,9 @@ main: .word(0x10f2feab) .word(0x9c6a77a7) .word(0xf4fa3ce7) +#if !defined(PULP) .word(0xb87213fb) +#endif .word(0x25cfa3eb) .word(0x1a87b1f7) .word(0x74e9279b) @@ -47237,7 +51663,9 @@ main: .word(0x57a40933) .word(0xb26ff933) .word(0x2486ad9b) +#if !defined(PULP) .word(0x8938f17b) +#endif .word(0xd707cd07) .word(0x7259c42f) .word(0xc04ef2bb) @@ -47253,8 +51681,12 @@ main: .word(0x87ec722f) .word(0xeb17b21b) .word(0xb9e779eb) +#if !defined(PULP) .word(0x44f47bfb) +#endif +#if !defined(PULP) .word(0x5533bf5b) +#endif .word(0x0f5cac9b) .word(0x0a2885fb) .word(0x8a40d877) @@ -47286,7 +51718,9 @@ main: .word(0xe8d3cceb) .word(0xb1af8087) .word(0x774c542b) +#if !defined(PULP) .word(0xca82e08b) +#endif .word(0xa4b790d7) .word(0x1079d1a7) .word(0x3d348b33) @@ -47320,7 +51754,9 @@ main: .word(0x0e06fc67) .word(0x0fd4a2b3) .word(0xef087c83) +#if !defined(PULP) .word(0x64a62e7b) +#endif .word(0x5f77363b) .word(0x5244aa3b) .word(0xf6587a9b) @@ -47336,7 +51772,9 @@ main: .word(0x074bef33) .word(0x2182b3e7) .word(0xdac1fd2f) +#if !defined(PULP) .word(0xd7326a8b) +#endif .word(0xe007d113) .word(0x2f82304f) .word(0x5a5c1867) @@ -47377,7 +51815,9 @@ main: .word(0x47cc3e4b) .word(0xde92efeb) .word(0xe26a753b) +#if !defined(PULP) .word(0xdd9cb55b) +#endif .word(0x7759fea7) .word(0xeefa8e27) .word(0x787cf853) @@ -47402,7 +51842,9 @@ main: .word(0x9d0de07b) .word(0xbeb49b67) .word(0x02b8cc27) +#if !defined(PULP) .word(0xee5a3a5b) +#endif .word(0x4c3720af) .word(0x3d03b257) .word(0x96da6cfb) @@ -47436,7 +51878,9 @@ main: .word(0x8ee2c723) .word(0x0a7d03af) .word(0xcffdcef3) +#if !defined(PULP) .word(0xcca76f8b) +#endif .word(0xab9fc5e7) .word(0xec0d0b9b) .word(0xdbb75623) @@ -47461,7 +51905,9 @@ main: .word(0xd071dca3) .word(0x66501e9b) .word(0x4f8a0a4f) +#if !defined(PULP) .word(0x5a21bbab) +#endif .word(0xe18a1aaf) .word(0x172f33af) .word(0xce027eeb) @@ -47477,14 +51923,18 @@ main: .word(0x31b982eb) .word(0xf51f82bb) .word(0x80d7a11b) +#if !defined(PULP) .word(0x6dea287b) +#endif .word(0x71a7f923) .word(0xf296f3a3) .word(0x739d87eb) .word(0xb67bd0d3) .word(0x7c8f9393) .word(0xd816c0a7) +#if !defined(PULP) .word(0x1ed2eb7b) +#endif .word(0x2e7a7c9b) .word(0xbee3067b) .word(0x1f1a3703) @@ -47532,7 +51982,9 @@ main: .word(0xacf9312f) .word(0x74c37183) .word(0x1dbf2db3) +#if !defined(PULP) .word(0xddac768b) +#endif .word(0x2b21bb03) .word(0x47a8db23) .word(0x304dd577) @@ -47575,12 +52027,16 @@ main: .word(0x5beda653) .word(0xf1ea4c6b) .word(0xde75be9b) +#if !defined(PULP) .word(0x640fbadb) +#endif .word(0x7d0c0fd3) .word(0x2cc01d93) .word(0x0f3f52e7) .word(0x3ab8b883) +#if !defined(PULP) .word(0xb8e4790b) +#endif .word(0x74029b93) .word(0x65449caf) .word(0x94b3f587) @@ -47608,7 +52064,9 @@ main: .word(0x0dca56ab) .word(0x169460bb) .word(0xaa190ab3) +#if !defined(PULP) .word(0x13d1ee7b) +#endif .word(0xbc7d3eaf) .word(0xed9d4feb) .word(0xfeb154af) @@ -47618,12 +52076,16 @@ main: .word(0x5cf6e4d7) .word(0x9f23bb57) .word(0x1760d24f) +#if !defined(PULP) .word(0x9310fe0b) +#endif .word(0x874a724b) .word(0x0c50ca2f) .word(0xf8212b9b) .word(0x69ac8807) +#if !defined(PULP) .word(0xd877f77b) +#endif .word(0x3cf58cf7) .word(0xd1e39127) .word(0x30e142ab) @@ -47651,52 +52113,98 @@ main: .word(0x04007033) .word(0x08005033) nop +#if !defined(PULP) .word(0x14001033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x14002033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x14006033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x14005033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x15001033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x15002033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x15005033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x15006033) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x140010B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x140020B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x140050B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x140060B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x150010B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x150020B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x150060B3) # p.clipr [31:25]==000_1010, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x05000033) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x050000B3) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x04000033) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x040000B3) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x05008033) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x050080B3) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x04008033) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 +#endif nop +#if !defined(PULP) .word(0x040080B3) # p.abs [31:25]==000_0010, [14:12]==000, [6:0]==011_0011 - +#endif + lw x5,80(sp) bne x5, x6, fail lw x5,76(sp) @@ -47739,7 +52247,7 @@ main: bne x5, x25, fail addi sp,sp,84 li x18, 123456789 - li x16, 47595 # this is the number of EXPECTED illegal instructions + li x16, EXPECTED_ILLEGAL_INSTRUCTIONS # this is the number of EXPECTED illegal instructions beq x31, x16, test_end fail: li x18, 1 @@ -47759,7 +52267,7 @@ u_sw_irq_handler: csrrw x0, mepc, x27 c.addi x31, 1 mret - + _exit: j _exit diff --git a/cv32e40p/tests/programs/custom/pulp_hardware_loop/pulp_hardware_loop.S b/cv32e40p/tests/programs/custom/pulp_hardware_loop/pulp_hardware_loop.S index d1a3245499..c369ec2400 100644 --- a/cv32e40p/tests/programs/custom/pulp_hardware_loop/pulp_hardware_loop.S +++ b/cv32e40p/tests/programs/custom/pulp_hardware_loop/pulp_hardware_loop.S @@ -15,9 +15,9 @@ // limitations under the License. // // SPDX-License-Identifier:Apache-2.0 WITH SHL-2.0 -// +// // Description : PULP Hardware Loops instructions test -// +// .globl _start .globl main @@ -312,7 +312,57 @@ test6_4: c.addi x15, 0x1 test6_5: csrr x20, 0xCC6 - beq x20, x10, exit_check + beq x20, x10, test7 + c.addi x15, 0x1 + +# test7 CSR read accesses during HWloop (same as test1 but with CSR reads to mstatus) + +test7: + cv.counti 0, 0 + cv.counti 1, 0 + li x5, 0 + li x6, 0 + li x7, 0 + li x8, 0 + li x17, 0 + li x18, 0 + li x30, 0x80 + li x31, 0 + + .balign 4 + + cv.starti 1, startO_7 + cv.endi 1, endO_7 + cv.counti 1, 10 + cv.starti 0, startZ_7 + cv.endi 0, endZ_7 +startO_7: + cv.counti 0, 10 + csrr x5, 0x300 # mstatus + + .option norvc + +startZ_7: + addi x17, x17, 1 + csrr x5, 0x300 # mstatus + addi x17, x17, 1 + lw x31, 0(x30) + csrr x6, 0x300 # mstatus +endZ_7: + addi x18, x18, 1 + csrr x7, 0x300 # mstatus + addi x18, x18, 1 + csrr x8, 0x300 # mstatus +endO_7: + + .option rvc + + li x20, 200 + beq x20, x17, test7_1 + c.addi x15, 0x1 +test7_1: + li x21, 20 + beq x21, x18, exit_check c.addi x15, 0x1 exit_check: