diff --git a/README.md b/README.md index 2f85740..7343c85 100644 --- a/README.md +++ b/README.md @@ -10,7 +10,7 @@ Features: * PS/2 Keyboard * Joystick (Atari / Commodore digital type)
* Joystick emulation on Keyboard Numpad
-* emulated 1541 Diskdrive on **raw** microSD card
+* emulated 1541 Diskdrive on **raw** microSD card with Userport parallel bus Speedloader
Both PS/2 KEYBOAD AND digital JOYSTICK pinmaps aligned to match [MiSTeryNano project](https://github.com/harbaum/MiSTeryNano). Didn't tested yet but the described USB Keyboard to PS/2 converter based on [M0S Dock](https://wiki.sipeed.com/hardware/en/maixzero/m0s/m0s.html) should work too. @@ -22,7 +22,7 @@ Dedicated .fs bitstream for default configuration and .fs for cartridge ROM demo Working on e.g. BENQ GL2450HM (FHD) , Acer VN247 (FHD), Dell S2721DGF (2k), LG 27UP85NP (4K). Check [EDID](https://en.wikipedia.org/wiki/Extended_Display_Identification_Data) timing of your target display for support. [Monitor Asset Manager](http://www.entechtaiwan.com/util/moninfo.shtm) might help to figure out.
## emulated Diskdrive 1541 -Emulated 1541 on a raw microSD card (no FAT fs !)
+Emulated 1541 on a raw microSD card (no FAT fs !) including parallel bus Speedloader
Place one or more [.D64](https://vice-emu.sourceforge.io/vice_toc.html#TOC405) file in the tools folder and run 'create_C64_ALL_D64.bat'. It will create a DISKSRAWC64.IMG.
Use e.g. [win32diskimager](https://sourceforge.net/projects/win32diskimager/) to program a microSD card with DISKSRAWC64.IMG. BE CAREFUL NOT WRITING ON YOUR OWN HARDDRIVE! Insert card in TN slot.
LED 1 is the Drive activity indicator.
For those who forgot after all those years...
Disk directory listing:
diff --git a/src/c1541/c1541_logic.vhd b/src/c1541/c1541_logic.vhd index bf2bd33..e6016cb 100644 --- a/src/c1541/c1541_logic.vhd +++ b/src/c1541/c1541_logic.vhd @@ -2,9 +2,6 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; ---use work.platform_pkg.all; ---use work.project_pkg.all; - -- -- Model 1541B -- @@ -26,8 +23,14 @@ entity c1541_logic is sb_clk_in : in std_logic; sb_atn_oe : out std_logic; sb_atn_in : in std_logic; - - -- drive-side interface + + -- parallel bus + par_data_i : in std_logic_vector(7 downto 0); + par_stb_i : in std_logic; + par_data_o : out std_logic_vector(7 downto 0); + par_stb_o : out std_logic; + + -- drive-side interface ds : in std_logic_vector(1 downto 0); -- device select di : in std_logic_vector(7 downto 0); -- disk read data do : out std_logic_vector(7 downto 0); -- disk data to write @@ -121,7 +124,15 @@ architecture SYN of c1541_logic is signal uc3_pb_oe : std_logic_vector(7 downto 0); signal cpu_a_slice : std_logic_vector(3 downto 0); -begin + + signal uc1_ca2_o : std_logic; + signal uc1_ca2_oe : std_logic; + signal uc1_cb1_o : std_logic; + signal uc1_cb1_oe : std_logic; + signal cb1_i : std_logic; + + + begin reset_n <= not reset; @@ -162,15 +173,16 @@ begin -- -- hook up UC1 ports - -- - uc1_cs1 <= '1'; --uc1_cs2_n: see decode logic above -- CA1 uc1_ca1_i <= not sb_atn_in; + -- PA - uc1_pa_i(0) <= (uc1_pa_o(0) or uc1_pa_oe_n(0)) and tr00_sense_n; - uc1_pa_i(7 downto 1) <= uc1_pa_oe_n(7 downto 1) or uc1_pa_o(7 downto 1); -- NC, but reads output when set to output + par_stb_o <= uc1_ca2_o or not uc1_ca2_oe; + par_data_o <= uc1_pa_o or not uc1_pa_oe; + cb1_i <= par_stb_i; + uc1_pa_i <= par_data_i; -- PB uc1_pb_i(0) <= not (sb_data_in and sb_data_oe); @@ -300,10 +312,18 @@ begin port_b_t => uc1_pb_oe, port_b_i => uc1_pb_i, + ca1_i => uc1_ca1_i, - ca2_i => '1', + ca2_i => (uc1_ca2_o or not uc1_ca2_oe), + + + ca2_o => uc1_ca2_o, + ca2_t => uc1_ca2_oe, + + cb1_i => cb1_i, + cb1_o => uc1_cb1_o, + cb1_t => uc1_cb1_oe, - cb1_i => '1', cb2_i => '1', irq => uc1_irq diff --git a/src/c1541/c1541_sd.vhd b/src/c1541/c1541_sd.vhd index 7daa060..8b9aedb 100644 --- a/src/c1541/c1541_sd.vhd +++ b/src/c1541/c1541_sd.vhd @@ -48,10 +48,16 @@ port( sd_mosi : out std_logic; sd_sclk : out std_logic; + -- parallel bus + par_data_i : in std_logic_vector(7 downto 0); + par_stb_i : in std_logic; + par_data_o : out std_logic_vector(7 downto 0); + par_stb_o : out std_logic; + dbg_track_num_dbl : out std_logic_vector(6 downto 0); dbg_sd_busy : out std_logic; dbg_sd_state : out std_logic_vector(7 downto 0); - dbg_read_sector : out std_logic_vector(4 downto 0); + dbg_read_sector : out std_logic_vector(4 downto 0); dbg_mtr : out std_logic; dbg_act : out std_logic ); @@ -132,7 +138,13 @@ begin sb_data_in => iec_data_i, sb_clk_in => iec_clk_i, sb_atn_in => iec_atn_i, - + + -- parallel bus + par_data_i => par_data_i, + par_stb_i => par_stb_i, + par_data_o => par_data_o, + par_stb_o => par_stb_o, + -- drive-side interface ds => "00", -- device select di => c1541_logic_din, -- data read diff --git a/src/gowin_dpb/gowin_dpb.ipc b/src/gowin_dpb/gowin_dpb.ipc deleted file mode 100644 index e6ee9d9..0000000 --- a/src/gowin_dpb/gowin_dpb.ipc +++ /dev/null @@ -1,22 +0,0 @@ -[General] -ipc_version=4 -file=gowin_dpb -module=Gowin_DPB -target_device=gw2ar18c-000 -type=ram_dpb -version=1.0 - -[Config] -Area=true -BYTE_SIZE=0 -DEPTH_A=1024 -DEPTH_B=1024 -LANG=1 -READ_A=0 -READ_B=0 -RESET_MODE=true -Speed=false -WIDTH_A=4 -WIDTH_B=4 -WRITE_A=0 -WRITE_B=0 diff --git a/src/gowin_dpb/gowin_dpb.vhd b/src/gowin_dpb/gowin_dpb.vhd deleted file mode 100644 index 07ec95f..0000000 --- a/src/gowin_dpb/gowin_dpb.vhd +++ /dev/null @@ -1,194 +0,0 @@ ---Copyright (C)2014-2023 Gowin Semiconductor Corporation. ---All rights reserved. ---File Title: IP file ---GOWIN Version: V1.9.8.11 Education ---Part Number: GW2AR-LV18QN88C8/I7 ---Device: GW2AR-18 ---Device Version: C ---Created Time: Mon Sep 04 21:57:29 2023 - -library IEEE; -use IEEE.std_logic_1164.all; - -entity Gowin_DPB is - port ( - douta: out std_logic_vector(3 downto 0); - doutb: out std_logic_vector(3 downto 0); - clka: in std_logic; - ocea: in std_logic; - cea: in std_logic; - reseta: in std_logic; - wrea: in std_logic; - clkb: in std_logic; - oceb: in std_logic; - ceb: in std_logic; - resetb: in std_logic; - wreb: in std_logic; - ada: in std_logic_vector(9 downto 0); - dina: in std_logic_vector(3 downto 0); - adb: in std_logic_vector(9 downto 0); - dinb: in std_logic_vector(3 downto 0) - ); -end Gowin_DPB; - -architecture Behavioral of Gowin_DPB is - - signal dpb_inst_0_douta_w: std_logic_vector(11 downto 0); - signal dpb_inst_0_doutb_w: std_logic_vector(11 downto 0); - signal gw_gnd: std_logic; - signal dpb_inst_0_BLKSELA_i: std_logic_vector(2 downto 0); - signal dpb_inst_0_BLKSELB_i: std_logic_vector(2 downto 0); - signal dpb_inst_0_ADA_i: std_logic_vector(13 downto 0); - signal dpb_inst_0_DIA_i: std_logic_vector(15 downto 0); - signal dpb_inst_0_ADB_i: std_logic_vector(13 downto 0); - signal dpb_inst_0_DIB_i: std_logic_vector(15 downto 0); - signal dpb_inst_0_DOA_o: std_logic_vector(15 downto 0); - signal dpb_inst_0_DOB_o: std_logic_vector(15 downto 0); - - --component declaration - component DPB - generic ( - READ_MODE0: in bit := '0'; - READ_MODE1: in bit := '0'; - WRITE_MODE0: in bit_vector := "00"; - WRITE_MODE1: in bit_vector := "00"; - BIT_WIDTH_0: in integer :=16; - BIT_WIDTH_1: in integer :=16; - BLK_SEL_0: in bit_vector := "000"; - BLK_SEL_1: in bit_vector := "000"; - RESET_MODE: in string := "SYNC"; - INIT_RAM_00: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_01: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_02: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_03: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_04: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_05: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_06: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_07: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_08: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_09: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0A: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0B: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0C: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0D: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0E: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_0F: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_10: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_11: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_12: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_13: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_14: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_15: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_16: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_17: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_18: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_19: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1A: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1B: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1C: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1D: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1E: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_1F: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_20: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_21: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_22: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_23: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_24: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_25: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_26: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_27: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_28: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_29: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2A: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2B: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2C: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2D: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2E: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_2F: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_30: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_31: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_32: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_33: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_34: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_35: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_36: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_37: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_38: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_39: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3A: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3B: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3C: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3D: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3E: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"; - INIT_RAM_3F: in bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000" - ); - port ( - DOA: out std_logic_vector(15 downto 0); - DOB: out std_logic_vector(15 downto 0); - CLKA: in std_logic; - OCEA: in std_logic; - CEA: in std_logic; - RESETA: in std_logic; - WREA: in std_logic; - CLKB: in std_logic; - OCEB: in std_logic; - CEB: in std_logic; - RESETB: in std_logic; - WREB: in std_logic; - BLKSELA: in std_logic_vector(2 downto 0); - BLKSELB: in std_logic_vector(2 downto 0); - ADA: in std_logic_vector(13 downto 0); - DIA: in std_logic_vector(15 downto 0); - ADB: in std_logic_vector(13 downto 0); - DIB: in std_logic_vector(15 downto 0) - ); - end component; - -begin - gw_gnd <= '0'; - - dpb_inst_0_BLKSELA_i <= gw_gnd & gw_gnd & gw_gnd; - dpb_inst_0_BLKSELB_i <= gw_gnd & gw_gnd & gw_gnd; - dpb_inst_0_ADA_i <= gw_gnd & gw_gnd & ada(9 downto 0) & gw_gnd & gw_gnd; - dpb_inst_0_DIA_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & dina(3 downto 0); - dpb_inst_0_ADB_i <= gw_gnd & gw_gnd & adb(9 downto 0) & gw_gnd & gw_gnd; - dpb_inst_0_DIB_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & dinb(3 downto 0); - douta(3 downto 0) <= dpb_inst_0_DOA_o(3 downto 0) ; - dpb_inst_0_douta_w(11 downto 0) <= dpb_inst_0_DOA_o(15 downto 4) ; - doutb(3 downto 0) <= dpb_inst_0_DOB_o(3 downto 0) ; - dpb_inst_0_doutb_w(11 downto 0) <= dpb_inst_0_DOB_o(15 downto 4) ; - - dpb_inst_0: DPB - generic map ( - READ_MODE0 => '0', - READ_MODE1 => '0', - WRITE_MODE0 => "00", - WRITE_MODE1 => "00", - BIT_WIDTH_0 => 4, - BIT_WIDTH_1 => 4, - RESET_MODE => "SYNC", - BLK_SEL_0 => "000", - BLK_SEL_1 => "000" - ) - port map ( - DOA => dpb_inst_0_DOA_o, - DOB => dpb_inst_0_DOB_o, - CLKA => clka, - OCEA => ocea, - CEA => cea, - RESETA => reseta, - WREA => wrea, - CLKB => clkb, - OCEB => oceb, - CEB => ceb, - RESETB => resetb, - WREB => wreb, - BLKSELA => dpb_inst_0_BLKSELA_i, - BLKSELB => dpb_inst_0_BLKSELB_i, - ADA => dpb_inst_0_ADA_i, - DIA => dpb_inst_0_DIA_i, - ADB => dpb_inst_0_ADB_i, - DIB => dpb_inst_0_DIB_i - ); - -end Behavioral; --Gowin_DPB diff --git a/src/gowin_prom/c1541_sdplus.mi b/src/gowin_prom/c1541_sdplus.mi new file mode 100644 index 0000000..1a49be3 --- /dev/null +++ b/src/gowin_prom/c1541_sdplus.mi @@ -0,0 +1,16387 @@ +#File_format=Hex +#Address_depth=16384 +#Data_width=8 +97 +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +AA +78 +A9 +F7 +2D +00 +1C +48 +A5 +7F +F0 +05 +68 +09 +00 +D0 +03 +68 +09 +08 +8D +00 +1C +58 +60 +78 +A9 +08 +0D +00 +1C +8D +00 +1C +58 +60 +A9 +00 +8D +6C +02 +8D +6D +02 +60 +78 +8A +48 +A9 +50 +8D +6C +02 +A2 +00 +BD +CA +FE +8D +6D +02 +0D +00 +1C +8D +00 +1C +68 +AA +58 +60 +A9 +00 +8D +F9 +02 +AD +8E +02 +85 +7F +20 +BC +E6 +A5 +84 +10 +09 +29 +0F +C9 +0F +F0 +03 +4C +B4 +D7 +20 +B3 +C2 +B1 +A3 +8D +75 +02 +A2 +0B +BD +89 +FE +CD +75 +02 +F0 +08 +CA +10 +F5 +A9 +31 +4C +C8 +C1 +8E +2A +02 +E0 +09 +90 +03 +20 +EE +C1 +AE +2A +02 +BD +95 +FE +85 +6F +BD +A1 +FE +85 +70 +6C +6F +00 +A9 +00 +8D +F9 +02 +AD +6C +02 +D0 +2A +A0 +00 +98 +84 +80 +84 +81 +84 +A3 +20 +C7 +E6 +20 +23 +C1 +A5 +7F +8D +8E +02 +AA +A9 +00 +95 +FF +20 +BD +C1 +4C +DA +D4 +A0 +28 +A9 +00 +99 +00 +02 +88 +10 +FA +60 +A0 +00 +84 +80 +84 +81 +4C +45 +E6 +A2 +00 +8E +7A +02 +A9 +3A +20 +68 +C2 +F0 +05 +88 +88 +8C +7A +02 +4C +68 +C3 +A0 +00 +A2 +00 +A9 +3A +4C +68 +C2 +20 +E5 +C1 +D0 +05 +A9 +34 +4C +C8 +C1 +88 +88 +8C +7A +02 +8A +D0 +F3 +A9 +3D +20 +68 +C2 +8A +F0 +02 +A9 +40 +09 +21 +8D +8B +02 +E8 +8E +77 +02 +8E +78 +02 +AD +8A +02 +F0 +0D +A9 +80 +0D +8B +02 +8D +8B +02 +A9 +00 +8D +8A +02 +98 +F0 +29 +9D +7A +02 +AD +77 +02 +8D +79 +02 +A9 +8D +20 +68 +C2 +E8 +8E +78 +02 +CA +AD +8A +02 +F0 +02 +A9 +08 +EC +77 +02 +F0 +02 +09 +04 +09 +03 +4D +8B +02 +8D +8B +02 +AD +8B +02 +AE +2A +02 +3D +A5 +FE +D0 +01 +60 +8D +6C +02 +A9 +30 +4C +C8 +C1 +8D +75 +02 +CC +74 +02 +B0 +2E +B1 +A3 +C8 +CD +75 +02 +F0 +28 +C9 +2A +F0 +04 +C9 +3F +D0 +03 +EE +8A +02 +C9 +2C +D0 +E4 +98 +9D +7B +02 +AD +8A +02 +29 +7F +F0 +07 +A9 +80 +95 +E7 +8D +8A +02 +E8 +E0 +04 +90 +CD +A0 +00 +AD +74 +02 +9D +7B +02 +AD +8A +02 +29 +7F +F0 +04 +A9 +80 +95 +E7 +98 +60 +A4 +A3 +F0 +14 +88 +F0 +10 +B9 +00 +02 +C9 +0D +F0 +0A +88 +B9 +00 +02 +C9 +0D +F0 +02 +C8 +C8 +8C +74 +02 +C0 +2A +A0 +FF +90 +08 +8C +2A +02 +A9 +32 +4C +C8 +C1 +A0 +00 +98 +85 +A3 +8D +58 +02 +8D +4A +02 +8D +96 +02 +85 +D3 +8D +79 +02 +8D +77 +02 +8D +78 +02 +8D +8A +02 +8D +6C +02 +A2 +05 +9D +79 +02 +95 +D7 +95 +DC +95 +E1 +95 +E6 +9D +7F +02 +9D +84 +02 +CA +D0 +EC +60 +AD +78 +02 +8D +77 +02 +A9 +01 +8D +78 +02 +8D +79 +02 +AC +8E +02 +A2 +00 +86 +D3 +BD +7A +02 +20 +3C +C3 +A6 +D3 +9D +7A +02 +98 +95 +E2 +E8 +EC +78 +02 +90 +EA +60 +AA +A0 +00 +A9 +3A +DD +01 +02 +F0 +0C +DD +00 +02 +D0 +16 +E8 +98 +29 +01 +A8 +8A +60 +BD +00 +02 +E8 +E8 +C9 +30 +F0 +F2 +C9 +31 +F0 +EE +D0 +EB +98 +09 +80 +29 +81 +D0 +E7 +A9 +00 +8D +8B +02 +AC +7A +02 +B1 +A3 +20 +BD +C3 +10 +11 +C8 +CC +74 +02 +B0 +06 +AC +74 +02 +88 +D0 +ED +CE +8B +02 +A9 +00 +29 +01 +85 +7F +4C +00 +C1 +A5 +7F +49 +01 +29 +01 +85 +7F +60 +A0 +00 +AD +77 +02 +CD +78 +02 +F0 +16 +CE +78 +02 +AC +78 +02 +B9 +7A +02 +A8 +B1 +A3 +A0 +04 +D9 +BB +FE +F0 +03 +88 +D0 +F8 +98 +8D +96 +02 +60 +C9 +30 +F0 +06 +C9 +31 +F0 +02 +09 +80 +29 +81 +60 +A9 +00 +85 +6F +8D +8D +02 +48 +AE +78 +02 +68 +05 +6F +48 +A9 +01 +85 +6F +CA +30 +0F +B5 +E2 +10 +04 +06 +6F +06 +6F +4A +90 +EA +06 +6F +D0 +E6 +68 +AA +BD +3F +C4 +48 +29 +03 +8D +8C +02 +68 +0A +10 +3E +A5 +E2 +29 +01 +85 +7F +AD +8C +02 +F0 +2B +20 +3D +C6 +F0 +12 +20 +8F +C3 +A9 +00 +8D +8C +02 +20 +3D +C6 +F0 +1E +A9 +74 +20 +C8 +C1 +20 +8F +C3 +20 +3D +C6 +08 +20 +8F +C3 +28 +F0 +0C +A9 +00 +8D +8C +02 +F0 +05 +20 +3D +C6 +D0 +E2 +4C +00 +C1 +2A +4C +00 +C4 +00 +80 +41 +01 +01 +01 +01 +81 +81 +81 +81 +42 +42 +42 +42 +20 +CA +C3 +A9 +00 +8D +92 +02 +20 +AC +C5 +D0 +19 +CE +8C +02 +10 +01 +60 +A9 +01 +8D +8D +02 +20 +8F +C3 +20 +00 +C1 +4C +52 +C4 +20 +17 +C6 +F0 +10 +20 +D8 +C4 +AD +8F +02 +F0 +01 +60 +AD +53 +02 +30 +ED +10 +F0 +AD +8F +02 +F0 +D2 +60 +20 +04 +C6 +F0 +1A +D0 +28 +A9 +01 +8D +8D +02 +20 +8F +C3 +20 +00 +C1 +A9 +00 +8D +92 +02 +20 +AC +C5 +D0 +13 +8D +8F +02 +AD +8F +02 +D0 +28 +CE +8C +02 +10 +DE +60 +20 +17 +C6 +F0 +F0 +20 +D8 +C4 +AE +53 +02 +10 +07 +AD +8F +02 +F0 +EE +D0 +0E +AD +96 +02 +F0 +09 +B5 +E7 +29 +07 +CD +96 +02 +D0 +DE +60 +A2 +FF +8E +53 +02 +E8 +8E +8A +02 +20 +89 +C5 +F0 +06 +60 +20 +94 +C5 +D0 +FA +A5 +7F +55 +E2 +4A +90 +0B +29 +40 +F0 +F0 +A9 +02 +CD +8C +02 +F0 +E9 +BD +7A +02 +AA +20 +A6 +C6 +A0 +03 +4C +1D +C5 +BD +00 +02 +D1 +94 +F0 +0A +C9 +3F +D0 +D2 +B1 +94 +C9 +A0 +F0 +CC +E8 +C8 +EC +76 +02 +B0 +09 +BD +00 +02 +C9 +2A +F0 +0C +D0 +DF +C0 +13 +B0 +06 +B1 +94 +C9 +A0 +D0 +B2 +AE +79 +02 +8E +53 +02 +B5 +E7 +29 +80 +8D +8A +02 +AD +94 +02 +95 +DD +A5 +81 +95 +D8 +A0 +00 +B1 +94 +C8 +48 +29 +40 +85 +6F +68 +29 +DF +30 +02 +09 +20 +29 +27 +05 +6F +85 +6F +A9 +80 +35 +E7 +05 +6F +95 +E7 +B5 +E2 +29 +80 +05 +7F +95 +E2 +B1 +94 +9D +80 +02 +C8 +B1 +94 +9D +85 +02 +AD +58 +02 +D0 +07 +A0 +15 +B1 +94 +8D +58 +02 +A9 +FF +8D +8F +02 +AD +78 +02 +8D +79 +02 +CE +79 +02 +10 +01 +60 +AE +79 +02 +B5 +E7 +30 +05 +BD +80 +02 +D0 +EE +A9 +00 +8D +8F +02 +60 +A0 +00 +8C +91 +02 +88 +8C +53 +02 +AD +85 +FE +85 +80 +A9 +01 +85 +81 +8D +93 +02 +20 +75 +D4 +AD +93 +02 +D0 +01 +60 +A9 +07 +8D +95 +02 +A9 +00 +20 +F6 +D4 +8D +93 +02 +20 +E8 +D4 +CE +95 +02 +A0 +00 +B1 +94 +D0 +18 +AD +91 +02 +D0 +2F +20 +3B +DE +A5 +81 +8D +91 +02 +A5 +94 +AE +92 +02 +8D +92 +02 +F0 +1D +60 +A2 +01 +EC +92 +02 +D0 +2D +F0 +13 +AD +85 +FE +85 +80 +AD +90 +02 +85 +81 +20 +75 +D4 +AD +94 +02 +20 +C8 +D4 +A9 +FF +8D +53 +02 +AD +95 +02 +30 +08 +A9 +20 +20 +C6 +D1 +4C +D7 +C5 +20 +4D +D4 +4C +C4 +C5 +A5 +94 +8D +94 +02 +20 +3B +DE +A5 +81 +8D +90 +02 +60 +A5 +68 +D0 +28 +A6 +7F +56 +1C +90 +22 +A9 +FF +8D +98 +02 +20 +0E +D0 +A0 +FF +C9 +02 +F0 +0A +C9 +03 +F0 +06 +C9 +0F +F0 +02 +A0 +00 +A6 +7F +98 +95 +FF +D0 +03 +20 +42 +D0 +A6 +7F +B5 +FF +60 +48 +20 +A6 +C6 +20 +88 +C6 +68 +38 +ED +4B +02 +AA +F0 +0A +90 +08 +A9 +A0 +91 +94 +C8 +CA +D0 +FA +60 +98 +0A +A8 +B9 +99 +00 +85 +94 +B9 +9A +00 +85 +95 +A0 +00 +BD +00 +02 +91 +94 +C8 +F0 +06 +E8 +EC +76 +02 +90 +F2 +60 +A9 +00 +8D +4B +02 +8A +48 +BD +00 +02 +C9 +2C +F0 +14 +C9 +3D +F0 +10 +EE +4B +02 +E8 +A9 +0F +CD +4B +02 +90 +05 +EC +74 +02 +90 +E5 +8E +76 +02 +68 +AA +60 +A5 +83 +48 +A5 +82 +48 +20 +DE +C6 +68 +85 +82 +68 +85 +83 +60 +A9 +11 +85 +83 +20 +EB +D0 +20 +E8 +D4 +AD +53 +02 +10 +0A +AD +8D +02 +D0 +0A +20 +06 +C8 +18 +60 +AD +8D +02 +F0 +1F +CE +8D +02 +D0 +0D +CE +8D +02 +20 +8F +C3 +20 +06 +C8 +38 +4C +8F +C3 +A9 +00 +8D +73 +02 +8D +8D +02 +20 +B7 +C7 +38 +60 +A2 +18 +A0 +1D +B1 +94 +8D +73 +02 +F0 +02 +A2 +16 +88 +B1 +94 +8D +72 +02 +E0 +16 +F0 +0A +C9 +0A +90 +06 +CA +C9 +64 +90 +01 +CA +20 +AC +C7 +B1 +94 +48 +0A +10 +05 +A9 +3C +9D +B2 +02 +68 +29 +0F +A8 +B9 +C5 +FE +9D +B1 +02 +CA +B9 +C0 +FE +9D +B1 +02 +CA +B9 +BB +FE +9D +B1 +02 +CA +CA +B0 +05 +A9 +2A +9D +B2 +02 +A9 +A0 +9D +B1 +02 +CA +A0 +12 +B1 +94 +9D +B1 +02 +CA +88 +C0 +03 +B0 +F5 +A9 +22 +9D +B1 +02 +E8 +E0 +20 +B0 +0B +BD +B1 +02 +C9 +22 +F0 +04 +C9 +A0 +D0 +F0 +A9 +22 +9D +B1 +02 +E8 +E0 +20 +B0 +0A +A9 +7F +3D +B1 +02 +9D +B1 +02 +10 +F1 +20 +B5 +C4 +38 +60 +A0 +1B +A9 +20 +99 +B0 +02 +88 +D0 +FA +60 +20 +19 +F1 +20 +DF +F0 +20 +AC +C7 +A9 +FF +85 +6F +A6 +7F +8E +72 +02 +A9 +00 +8D +73 +02 +A6 +F9 +BD +E0 +FE +85 +95 +AD +88 +FE +85 +94 +A0 +16 +B1 +94 +C9 +A0 +D0 +0B +A9 +31 +2C +B1 +94 +C9 +A0 +D0 +02 +A9 +20 +99 +B3 +02 +88 +10 +F2 +A9 +12 +8D +B1 +02 +A9 +22 +8D +B2 +02 +8D +C3 +02 +A9 +20 +8D +C4 +02 +60 +20 +AC +C7 +A0 +0B +B9 +17 +C8 +99 +B1 +02 +88 +10 +F7 +4C +4D +EF +42 +4C +4F +43 +4B +53 +20 +46 +52 +45 +45 +2E +20 +98 +C3 +20 +20 +C3 +20 +CA +C3 +A9 +00 +85 +86 +20 +9D +C4 +30 +3D +20 +B7 +DD +90 +33 +A0 +00 +B1 +94 +29 +40 +D0 +2B +20 +B6 +C8 +A0 +13 +B1 +94 +F0 +0A +85 +80 +C8 +B1 +94 +85 +81 +20 +7D +C8 +AE +53 +02 +A9 +20 +35 +E7 +D0 +0D +BD +80 +02 +85 +80 +BD +85 +02 +85 +81 +20 +7D +C8 +E6 +86 +20 +8B +C4 +10 +C3 +A5 +86 +85 +80 +A9 +01 +A0 +00 +4C +A3 +C1 +20 +5F +EF +20 +75 +D4 +20 +19 +F1 +B5 +A7 +C9 +FF +F0 +08 +AD +F9 +02 +09 +40 +8D +F9 +02 +A9 +00 +20 +C8 +D4 +20 +56 +D1 +85 +80 +20 +56 +D1 +85 +81 +A5 +80 +D0 +06 +20 +F4 +EE +4C +27 +D2 +20 +5F +EF +20 +4D +D4 +4C +94 +C8 +A0 +00 +98 +91 +94 +20 +5E +DE +4C +99 +D5 +A9 +31 +4C +C8 +C1 +A9 +4C +8D +00 +06 +A9 +C7 +8D +01 +06 +A9 +FA +8D +02 +06 +A9 +03 +20 +D3 +D6 +A5 +7F +09 +E0 +85 +03 +A5 +03 +30 +FC +C9 +02 +90 +07 +A9 +03 +A2 +00 +4C +0A +E6 +60 +A9 +E0 +8D +4F +02 +20 +D1 +F0 +20 +19 +F1 +A9 +FF +95 +A7 +A9 +0F +8D +56 +02 +20 +E5 +C1 +D0 +03 +4C +C1 +C8 +20 +F8 +C1 +20 +20 +C3 +AD +8B +02 +29 +55 +D0 +0F +AE +7A +02 +BD +00 +02 +C9 +2A +D0 +05 +A9 +30 +4C +C8 +C1 +AD +8B +02 +29 +D9 +D0 +F4 +4C +52 +C9 +A9 +00 +8D +58 +02 +8D +8C +02 +8D +80 +02 +8D +81 +02 +A5 +E3 +29 +01 +85 +7F +09 +01 +8D +91 +02 +AD +7B +02 +8D +7A +02 +60 +20 +4F +C4 +AD +78 +02 +C9 +03 +90 +45 +A5 +E2 +C5 +E3 +D0 +3F +A5 +DD +C5 +DE +D0 +39 +A5 +D8 +C5 +D9 +D0 +33 +20 +CC +CA +A9 +01 +8D +79 +02 +20 +FA +C9 +20 +25 +D1 +F0 +04 +C9 +02 +D0 +05 +A9 +64 +20 +C8 +C1 +A9 +12 +85 +83 +AD +3C +02 +8D +3D +02 +A9 +FF +8D +3C +02 +20 +2A +DA +A2 +02 +20 +B9 +C9 +4C +94 +C1 +20 +A7 +C9 +4C +94 +C1 +20 +E7 +CA +A5 +E2 +29 +01 +85 +7F +20 +86 +D4 +20 +E4 +D6 +AE +77 +02 +8E +79 +02 +20 +FA +C9 +A9 +11 +85 +83 +20 +EB +D0 +20 +25 +D1 +D0 +03 +20 +53 +CA +A9 +08 +85 +F8 +4C +D8 +C9 +20 +9B +CF +20 +35 +CA +A9 +80 +20 +A6 +DD +F0 +F3 +20 +25 +D1 +F0 +03 +20 +9B +CF +AE +79 +02 +E8 +EC +78 +02 +90 +C6 +A9 +12 +85 +83 +4C +02 +DB +AE +79 +02 +B5 +E2 +29 +01 +85 +7F +AD +85 +FE +85 +80 +B5 +D8 +85 +81 +20 +75 +D4 +AE +79 +02 +B5 +DD +20 +C8 +D4 +AE +79 +02 +B5 +E7 +29 +07 +8D +4A +02 +A9 +00 +8D +58 +02 +20 +A0 +D9 +A0 +01 +20 +25 +D1 +F0 +01 +C8 +98 +4C +C8 +D4 +A9 +11 +85 +83 +20 +9B +D3 +85 +85 +A6 +82 +B5 +F2 +29 +08 +85 +F8 +D0 +0A +20 +25 +D1 +F0 +05 +A9 +80 +20 +97 +DD +60 +20 +D3 +D1 +20 +CB +E1 +A5 +D6 +48 +A5 +D5 +48 +A9 +12 +85 +83 +20 +07 +D1 +20 +D3 +D1 +20 +CB +E1 +20 +9C +E2 +A5 +D6 +85 +87 +A5 +D5 +85 +86 +A9 +00 +85 +88 +85 +D4 +85 +D7 +68 +85 +D5 +68 +85 +D6 +4C +3B +E3 +20 +20 +C3 +A5 +E3 +29 +01 +85 +E3 +C5 +E2 +F0 +02 +09 +80 +85 +E2 +20 +4F +C4 +20 +E7 +CA +A5 +E3 +29 +01 +85 +7F +A5 +D9 +85 +81 +20 +57 +DE +20 +99 +D5 +A5 +DE +18 +69 +03 +20 +C8 +D4 +20 +93 +DF +A8 +AE +7A +02 +A9 +10 +20 +6E +C6 +20 +5E +DE +20 +99 +D5 +4C +94 +C1 +A5 +E8 +29 +07 +8D +4A +02 +AE +78 +02 +CA +EC +77 +02 +90 +0A +BD +80 +02 +D0 +F5 +A9 +62 +4C +C8 +C1 +60 +20 +CC +CA +BD +80 +02 +F0 +05 +A9 +63 +4C +C8 +C1 +CA +10 +F3 +60 +AD +01 +02 +C9 +2D +D0 +4C +AD +03 +02 +85 +6F +AD +04 +02 +85 +70 +A0 +00 +AD +02 +02 +C9 +52 +F0 +0E +20 +58 +F2 +C9 +57 +F0 +37 +C9 +45 +D0 +2E +6C +6F +00 +B1 +6F +85 +85 +AD +74 +02 +C9 +06 +90 +1A +AE +05 +02 +CA +F0 +14 +8A +18 +65 +6F +E6 +6F +8D +49 +02 +A5 +6F +85 +A5 +A5 +70 +85 +A6 +4C +43 +D4 +20 +EB +D0 +4C +3A +D4 +A9 +31 +4C +C8 +C1 +B9 +06 +02 +91 +6F +C8 +CC +05 +02 +90 +F5 +60 +AC +01 +02 +C0 +30 +D0 +09 +A9 +EA +85 +6B +A9 +FF +85 +6C +60 +20 +72 +CB +4C +94 +C1 +88 +98 +29 +0F +0A +A8 +B1 +6B +85 +75 +C8 +B1 +6B +85 +76 +6C +75 +00 +AD +8E +02 +85 +7F +A5 +83 +48 +20 +3D +C6 +68 +85 +83 +AE +74 +02 +CA +D0 +0D +A9 +01 +20 +E2 +D1 +4C +F1 +CB +A9 +70 +4C +C8 +C1 +A0 +01 +20 +7C +CC +AE +85 +02 +E0 +05 +B0 +EF +A9 +00 +85 +6F +85 +70 +38 +26 +6F +26 +70 +CA +10 +F9 +A5 +6F +2D +4F +02 +D0 +DA +A5 +70 +2D +50 +02 +D0 +D3 +A5 +6F +0D +4F +02 +8D +4F +02 +A5 +70 +0D +50 +02 +8D +50 +02 +A9 +00 +20 +E2 +D1 +A6 +82 +AD +85 +02 +95 +A7 +AA +A5 +7F +95 +00 +9D +5B +02 +A6 +83 +BD +2B +02 +09 +40 +9D +2B +02 +A4 +82 +A9 +FF +99 +44 +02 +A9 +89 +99 +F2 +00 +B9 +A7 +00 +99 +3E +02 +0A +AA +A9 +01 +95 +99 +A9 +0E +99 +EC +00 +4C +94 +C1 +A0 +00 +A2 +00 +A9 +2D +20 +68 +C2 +D0 +0A +A9 +31 +4C +C8 +C1 +A9 +30 +4C +C8 +C1 +8A +D0 +F8 +A2 +05 +B9 +00 +02 +DD +5D +CC +F0 +05 +CA +10 +F8 +30 +E4 +8A +09 +80 +8D +2A +02 +20 +6F +CC +AD +2A +02 +0A +AA +BD +64 +CC +85 +70 +BD +63 +CC +85 +6F +6C +6F +00 +41 +46 +52 +57 +45 +50 +03 +CD +F5 +CC +56 +CD +73 +CD +A3 +CD +BD +CD +A0 +00 +A2 +00 +A9 +3A +20 +68 +C2 +D0 +02 +A0 +03 +B9 +00 +02 +C9 +20 +F0 +08 +C9 +1D +F0 +04 +C9 +2C +D0 +07 +C8 +CC +74 +02 +90 +EB +60 +20 +A1 +CC +EE +77 +02 +AC +79 +02 +E0 +04 +90 +EC +B0 +8A +A9 +00 +85 +6F +85 +70 +85 +72 +A2 +FF +B9 +00 +02 +C9 +40 +B0 +18 +C9 +30 +90 +14 +29 +0F +48 +A5 +70 +85 +71 +A5 +6F +85 +70 +68 +85 +6F +C8 +CC +74 +02 +90 +E1 +8C +79 +02 +18 +A9 +00 +E8 +E0 +03 +B0 +0F +B4 +6F +88 +30 +F6 +7D +F2 +CC +90 +F8 +18 +E6 +72 +D0 +F3 +48 +AE +77 +02 +A5 +72 +9D +80 +02 +68 +9D +85 +02 +60 +01 +0A +64 +20 +F5 +CD +20 +5F +EF +4C +94 +C1 +A9 +01 +8D +F9 +02 +20 +F5 +CD +A5 +81 +48 +20 +FA +F1 +F0 +0B +68 +C5 +81 +D0 +19 +20 +90 +EF +4C +94 +C1 +68 +A9 +00 +85 +81 +E6 +80 +A5 +80 +CD +D7 +FE +B0 +0A +20 +FA +F1 +F0 +EE +A9 +65 +20 +45 +E6 +A9 +65 +20 +C8 +C1 +20 +F2 +CD +4C +60 +D4 +20 +2F +D1 +A1 +99 +60 +20 +36 +CD +A9 +00 +20 +C8 +D4 +20 +3C +CD +99 +44 +02 +A9 +89 +99 +F2 +00 +60 +20 +42 +CD +20 +EC +D3 +4C +94 +C1 +20 +6F +CC +20 +42 +CD +B9 +44 +02 +99 +3E +02 +A9 +FF +99 +44 +02 +4C +94 +C1 +20 +F2 +CD +20 +E8 +D4 +A8 +88 +C9 +02 +B0 +02 +A0 +01 +A9 +00 +20 +C8 +D4 +98 +20 +F1 +CF +8A +48 +20 +64 +D4 +68 +AA +20 +EE +D3 +4C +94 +C1 +20 +6F +CC +20 +F2 +CD +20 +64 +D4 +4C +94 +C1 +20 +58 +F2 +20 +36 +CD +A9 +00 +85 +6F +A6 +F9 +BD +E0 +FE +85 +70 +20 +BA +CD +4C +94 +C1 +6C +6F +00 +20 +D2 +CD +A5 +F9 +0A +AA +AD +86 +02 +95 +99 +20 +2F +D1 +20 +EE +D3 +4C +94 +C1 +A6 +D3 +E6 +D3 +BD +85 +02 +A8 +88 +88 +C0 +0C +90 +05 +A9 +70 +4C +C8 +C1 +85 +83 +20 +EB +D0 +B0 +F4 +20 +93 +DF +85 +F9 +60 +20 +D2 +CD +A6 +D3 +BD +85 +02 +29 +01 +85 +7F +BD +87 +02 +85 +81 +BD +86 +02 +85 +80 +20 +5F +D5 +4C +00 +C1 +20 +2C +CE +20 +6E +CE +A5 +90 +85 +D7 +20 +71 +CE +E6 +D7 +E6 +D7 +A5 +8B +85 +D5 +A5 +90 +0A +18 +69 +10 +85 +D6 +60 +20 +D9 +CE +85 +92 +A6 +82 +B5 +B5 +85 +90 +B5 +BB +85 +91 +D0 +04 +A5 +90 +F0 +0B +A5 +90 +38 +E9 +01 +85 +90 +B0 +02 +C6 +91 +B5 +C7 +85 +6F +46 +6F +90 +03 +20 +ED +CE +20 +E5 +CE +A5 +6F +D0 +F2 +A5 +D4 +18 +65 +8B +85 +8B +90 +06 +E6 +8C +D0 +02 +E6 +8D +60 +A9 +FE +2C +A9 +78 +85 +6F +A2 +03 +B5 +8F +48 +B5 +8A +95 +8F +68 +95 +8A +CA +D0 +F3 +20 +D9 +CE +A2 +00 +B5 +90 +95 +8F +E8 +E0 +04 +90 +F7 +A9 +00 +85 +92 +24 +6F +30 +09 +06 +8F +08 +46 +8F +28 +20 +E6 +CE +20 +ED +CE +20 +E5 +CE +24 +6F +30 +03 +20 +E2 +CE +A5 +8F +18 +65 +90 +85 +90 +90 +06 +E6 +91 +D0 +02 +E6 +92 +A5 +92 +05 +91 +D0 +C2 +A5 +90 +38 +E5 +6F +90 +0C +E6 +8B +D0 +06 +E6 +8C +D0 +02 +E6 +8D +85 +90 +60 +A9 +00 +85 +8B +85 +8C +85 +8D +60 +20 +E5 +CE +18 +26 +90 +26 +91 +26 +92 +60 +18 +A2 +FD +B5 +8E +75 +93 +95 +8E +E8 +D0 +F7 +60 +A2 +00 +8A +95 +FA +E8 +E0 +04 +D0 +F8 +A9 +06 +95 +FA +60 +A0 +04 +A6 +82 +B9 +FA +00 +96 +FA +C5 +82 +F0 +07 +88 +30 +E1 +AA +4C +0D +CF +60 +20 +09 +CF +20 +B7 +DF +D0 +46 +20 +D3 +D1 +20 +8E +D2 +30 +48 +20 +C2 +DF +A5 +80 +48 +A5 +81 +48 +A9 +01 +20 +F6 +D4 +85 +81 +A9 +00 +20 +F6 +D4 +85 +80 +F0 +1F +20 +25 +D1 +F0 +0B +20 +AB +DD +D0 +06 +20 +8C +CF +4C +5D +CF +20 +8C +CF +20 +57 +DE +68 +85 +81 +68 +85 +80 +4C +6F +CF +68 +85 +81 +68 +85 +80 +20 +8C +CF +20 +93 +DF +AA +4C +99 +D5 +A9 +70 +4C +C8 +C1 +20 +09 +CF +20 +B7 +DF +D0 +08 +20 +8E +D2 +30 +EE +20 +C2 +DF +60 +A6 +82 +B5 +A7 +49 +80 +95 +A7 +B5 +AE +49 +80 +95 +AE +60 +A2 +12 +86 +83 +20 +07 +D1 +20 +00 +C1 +20 +25 +D1 +90 +05 +A9 +20 +20 +9D +DD +A5 +83 +C9 +0F +F0 +23 +D0 +08 +A5 +84 +29 +8F +C9 +0F +B0 +19 +20 +25 +D1 +B0 +05 +A5 +85 +4C +9D +D1 +D0 +03 +4C +AB +E0 +A5 +85 +20 +F1 +CF +A4 +82 +4C +EE +D3 +A9 +04 +85 +82 +20 +E8 +D4 +C9 +2A +F0 +05 +A5 +85 +20 +F1 +CF +A5 +F8 +F0 +01 +60 +EE +55 +02 +60 +48 +20 +93 +DF +10 +06 +68 +A9 +61 +4C +C8 +C1 +0A +AA +68 +81 +99 +F6 +99 +60 +20 +D1 +C1 +20 +42 +D0 +4C +94 +C1 +20 +0F +F1 +A8 +B6 +A7 +E0 +FF +D0 +14 +48 +20 +8E +D2 +AA +10 +05 +A9 +70 +20 +48 +E6 +68 +A8 +8A +09 +80 +99 +A7 +00 +8A +29 +0F +85 +F9 +A2 +00 +86 +81 +AE +85 +FE +86 +80 +20 +D3 +D6 +A9 +B0 +4C +8C +D5 +20 +D1 +F0 +20 +13 +D3 +20 +0E +D0 +A6 +7F +A9 +00 +9D +51 +02 +8A +0A +AA +A5 +16 +95 +12 +A5 +17 +95 +13 +20 +86 +D5 +A5 +F9 +0A +AA +A9 +02 +95 +99 +A1 +99 +A6 +7F +9D +01 +01 +A9 +00 +95 +1C +95 +FF +20 +3A +EF +A0 +04 +A9 +00 +AA +18 +71 +6D +90 +01 +E8 +C8 +C8 +C8 +C8 +C0 +48 +F0 +F8 +C0 +90 +D0 +EE +48 +8A +A6 +7F +9D +FC +02 +68 +9D +FA +02 +60 +20 +D0 +D6 +20 +C3 +D0 +20 +99 +D5 +20 +37 +D1 +85 +80 +20 +37 +D1 +85 +81 +60 +20 +9B +D0 +A5 +80 +D0 +01 +60 +20 +1E +CF +20 +D0 +D6 +20 +C3 +D0 +4C +1E +CF +A9 +80 +D0 +02 +A9 +90 +8D +4D +02 +20 +93 +DF +AA +20 +06 +D5 +8A +48 +0A +AA +A9 +00 +95 +99 +20 +25 +D1 +C9 +04 +B0 +06 +F6 +B5 +D0 +02 +F6 +BB +68 +AA +60 +A5 +83 +C9 +13 +90 +02 +29 +0F +C9 +0F +D0 +02 +A9 +10 +AA +38 +BD +2B +02 +30 +06 +29 +0F +85 +82 +AA +18 +60 +A5 +83 +C9 +13 +90 +02 +29 +0F +AA +BD +2B +02 +A8 +0A +90 +0A +30 +0A +98 +29 +0F +85 +82 +AA +18 +60 +30 +F6 +38 +60 +A6 +82 +B5 +EC +4A +29 +07 +C9 +04 +60 +20 +93 +DF +0A +AA +A4 +82 +60 +20 +2F +D1 +B9 +44 +02 +F0 +12 +A1 +99 +48 +B5 +99 +D9 +44 +02 +D0 +04 +A9 +FF +95 +99 +68 +F6 +99 +60 +A1 +99 +F6 +99 +60 +20 +37 +D1 +D0 +36 +85 +85 +B9 +44 +02 +F0 +08 +A9 +80 +99 +F2 +00 +A5 +85 +60 +20 +1E +CF +A9 +00 +20 +C8 +D4 +20 +37 +D1 +C9 +00 +F0 +19 +85 +80 +20 +37 +D1 +85 +81 +20 +1E +CF +20 +D3 +D1 +20 +D0 +D6 +20 +C3 +D0 +20 +1E +CF +A5 +85 +60 +20 +37 +D1 +A4 +82 +99 +44 +02 +A5 +85 +60 +20 +F1 +CF +F0 +01 +60 +20 +D3 +D1 +20 +1E +F1 +A9 +00 +20 +C8 +D4 +A5 +80 +20 +F1 +CF +A5 +81 +20 +F1 +CF +20 +C7 +D0 +20 +1E +CF +20 +D0 +D6 +A9 +02 +4C +C8 +D4 +85 +6F +20 +E8 +D4 +18 +65 +6F +95 +99 +85 +94 +60 +20 +93 +DF +AA +BD +5B +02 +29 +01 +85 +7F +60 +38 +B0 +01 +18 +08 +85 +6F +20 +27 +D2 +20 +7F +D3 +85 +82 +A6 +83 +28 +90 +02 +09 +80 +9D +2B +02 +29 +3F +A8 +A9 +FF +99 +A7 +00 +99 +AE +00 +99 +CD +00 +C6 +6F +30 +1C +20 +8E +D2 +10 +08 +20 +5A +D2 +A9 +70 +4C +C8 +C1 +99 +A7 +00 +C6 +6F +30 +08 +20 +8E +D2 +30 +EC +99 +AE +00 +60 +A5 +83 +C9 +0F +D0 +01 +60 +A6 +83 +BD +2B +02 +C9 +FF +F0 +22 +29 +3F +85 +82 +A9 +FF +9D +2B +02 +A6 +82 +A9 +00 +95 +F2 +20 +5A +D2 +A6 +82 +A9 +01 +CA +30 +03 +0A +D0 +FA +0D +56 +02 +8D +56 +02 +60 +A6 +82 +B5 +A7 +C9 +FF +F0 +09 +48 +A9 +FF +95 +A7 +68 +20 +F3 +D2 +A6 +82 +B5 +AE +C9 +FF +F0 +09 +48 +A9 +FF +95 +AE +68 +20 +F3 +D2 +A6 +82 +B5 +CD +C9 +FF +F0 +09 +48 +A9 +FF +95 +CD +68 +20 +F3 +D2 +60 +98 +48 +A0 +01 +20 +BA +D2 +10 +0C +88 +20 +BA +D2 +10 +06 +20 +39 +D3 +AA +30 +13 +B5 +00 +30 +FC +A5 +7F +95 +00 +9D +5B +02 +8A +0A +A8 +A9 +02 +99 +99 +00 +68 +A8 +8A +60 +A2 +07 +B9 +4F +02 +3D +E9 +EF +F0 +04 +CA +10 +F5 +60 +B9 +4F +02 +5D +E9 +EF +99 +4F +02 +8A +88 +30 +03 +18 +69 +08 +AA +60 +A6 +82 +B5 +A7 +30 +09 +8A +18 +69 +07 +AA +B5 +A7 +10 +F0 +C9 +FF +F0 +EC +48 +A9 +FF +95 +A7 +68 +29 +0F +A8 +C8 +A2 +10 +6E +50 +02 +6E +4F +02 +88 +D0 +01 +18 +CA +10 +F3 +60 +A9 +0E +85 +83 +20 +27 +D2 +C6 +83 +D0 +F9 +60 +A9 +0E +85 +83 +A6 +83 +BD +2B +02 +C9 +FF +F0 +14 +29 +3F +85 +82 +20 +93 +DF +AA +BD +5B +02 +29 +01 +C5 +7F +D0 +03 +20 +27 +D2 +C6 +83 +10 +DF +60 +A5 +6F +48 +A0 +00 +B6 +FA +B5 +A7 +10 +04 +C9 +FF +D0 +16 +8A +18 +69 +07 +AA +B5 +A7 +10 +04 +C9 +FF +D0 +09 +C8 +C0 +05 +90 +E4 +A2 +FF +D0 +1C +86 +6F +29 +3F +AA +B5 +00 +30 +FC +C9 +02 +90 +08 +A6 +6F +E0 +07 +90 +D7 +B0 +E2 +A4 +6F +A9 +FF +99 +A7 +00 +68 +85 +6F +8A +60 +A0 +00 +A9 +01 +2C +56 +02 +D0 +09 +C8 +0A +D0 +F7 +A9 +70 +4C +C8 +C1 +49 +FF +2D +56 +02 +8D +56 +02 +98 +60 +20 +EB +D0 +20 +00 +C1 +20 +AA +D3 +A6 +82 +BD +3E +02 +60 +A6 +82 +20 +25 +D1 +D0 +03 +4C +20 +E1 +A5 +83 +C9 +0F +F0 +5A +B5 +F2 +29 +08 +D0 +13 +20 +25 +D1 +C9 +07 +D0 +07 +A9 +89 +95 +F2 +4C +DE +D3 +A9 +00 +95 +F2 +60 +A5 +83 +F0 +32 +20 +25 +D1 +C9 +04 +90 +22 +20 +2F +D1 +B5 +99 +D9 +44 +02 +D0 +04 +A9 +00 +95 +99 +F6 +99 +A1 +99 +99 +3E +02 +B5 +99 +D9 +44 +02 +D0 +05 +A9 +81 +99 +F2 +00 +60 +20 +56 +D1 +A6 +82 +9D +3E +02 +60 +AD +54 +02 +F0 +F2 +20 +67 +ED +4C +03 +D4 +20 +E8 +D4 +C9 +D4 +D0 +18 +A5 +95 +C9 +02 +D0 +12 +A9 +0D +85 +85 +20 +23 +C1 +A9 +00 +20 +C1 +E6 +C6 +A5 +A9 +80 +D0 +12 +20 +37 +D1 +85 +85 +D0 +09 +A9 +D4 +20 +C8 +D4 +A9 +02 +95 +9A +A9 +88 +85 +F7 +A5 +85 +8D +43 +02 +60 +20 +93 +DF +0A +AA +A9 +00 +95 +99 +A1 +99 +F0 +05 +D6 +99 +4C +56 +D1 +60 +A9 +80 +D0 +02 +A9 +90 +05 +7F +8D +4D +02 +A5 +F9 +20 +D3 +D6 +A6 +F9 +4C +93 +D5 +A9 +01 +8D +4A +02 +A9 +11 +85 +83 +20 +46 +DC +A9 +02 +4C +C8 +D4 +A9 +12 +85 +83 +4C +DA +DC +20 +3B +DE +A9 +01 +85 +6F +A5 +69 +48 +A9 +03 +85 +69 +20 +2D +F1 +68 +85 +69 +A9 +00 +20 +C8 +D4 +A5 +80 +20 +F1 +CF +A5 +81 +20 +F1 +CF +20 +C7 +D0 +20 +99 +D5 +A9 +00 +20 +C8 +D4 +20 +F1 +CF +D0 +FB +20 +F1 +CF +A9 +FF +4C +F1 +CF +85 +6F +20 +93 +DF +0A +AA +B5 +9A +85 +95 +A5 +6F +95 +99 +85 +94 +60 +A9 +11 +85 +83 +20 +27 +D2 +A9 +12 +85 +83 +4C +27 +D2 +20 +93 +DF +0A +AA +B5 +9A +85 +95 +B5 +99 +85 +94 +60 +85 +71 +20 +93 +DF +AA +BD +E0 +FE +85 +72 +A0 +00 +B1 +71 +60 +BD +5B +02 +29 +01 +0D +4D +02 +48 +86 +F9 +8A +0A +AA +B5 +07 +8D +4D +02 +B5 +06 +F0 +2D +CD +D7 +FE +B0 +28 +AA +68 +48 +29 +F0 +C9 +90 +D0 +4F +68 +48 +4A +B0 +05 +AD +01 +01 +90 +03 +AD +02 +01 +F0 +05 +CD +D5 +FE +D0 +33 +8A +20 +4B +F2 +CD +4D +02 +F0 +02 +B0 +30 +20 +52 +D5 +A9 +66 +4C +45 +E6 +A5 +F9 +0A +AA +B5 +06 +85 +80 +B5 +07 +85 +81 +60 +A5 +80 +F0 +EA +CD +D7 +FE +B0 +E5 +20 +4B +F2 +C5 +81 +F0 +DE +90 +DC +60 +20 +52 +D5 +A9 +73 +4C +45 +E6 +A6 +F9 +68 +8D +4D +02 +95 +00 +9D +5B +02 +60 +A9 +80 +D0 +02 +A9 +90 +05 +7F +A6 +F9 +8D +4D +02 +AD +4D +02 +20 +0E +D5 +20 +A6 +D5 +B0 +FB +48 +A9 +00 +8D +98 +02 +68 +60 +B5 +00 +30 +1A +C9 +02 +90 +14 +C9 +08 +F0 +08 +C9 +0B +F0 +04 +C9 +0F +D0 +0C +2C +98 +02 +30 +03 +4C +3F +D6 +18 +60 +38 +60 +98 +48 +A5 +7F +48 +BD +5B +02 +29 +01 +85 +7F +A8 +B9 +CA +FE +8D +6D +02 +20 +A6 +D6 +C9 +02 +B0 +03 +4C +6D +D6 +BD +5B +02 +29 +F0 +48 +C9 +90 +D0 +07 +A5 +7F +09 +B8 +9D +5B +02 +24 +6A +70 +39 +A9 +00 +8D +99 +02 +8D +9A +02 +AC +99 +02 +AD +9A +02 +38 +F9 +DB +FE +8D +9A +02 +B9 +DB +FE +20 +76 +D6 +EE +99 +02 +20 +A6 +D6 +C9 +02 +90 +08 +AC +99 +02 +B9 +DB +FE +D0 +DB +AD +9A +02 +20 +76 +D6 +B5 +00 +C9 +02 +90 +2B +24 +6A +10 +0F +68 +C9 +90 +D0 +05 +05 +7F +9D +5B +02 +B5 +00 +20 +0A +E6 +68 +2C +98 +02 +30 +23 +48 +A9 +C0 +05 +7F +95 +00 +B5 +00 +30 +FC +20 +A6 +D6 +C9 +02 +B0 +D9 +68 +C9 +90 +D0 +0C +05 +7F +9D +5B +02 +20 +A6 +D6 +C9 +02 +B0 +D2 +68 +85 +7F +68 +A8 +B5 +00 +18 +60 +C9 +00 +F0 +18 +30 +0C +A0 +01 +20 +93 +D6 +38 +E9 +01 +D0 +F6 +F0 +0A +A0 +FF +20 +93 +D6 +18 +69 +01 +D0 +F6 +60 +48 +98 +A4 +7F +99 +FE +02 +D9 +FE +02 +F0 +FB +A9 +00 +99 +FE +02 +68 +60 +A5 +6A +29 +3F +A8 +AD +6D +02 +4D +00 +1C +8D +00 +1C +BD +5B +02 +95 +00 +B5 +00 +30 +FC +C9 +02 +90 +03 +88 +D0 +E7 +48 +AD +6D +02 +0D +00 +1C +8D +00 +1C +68 +60 +20 +93 +DF +0A +A8 +A5 +80 +99 +06 +00 +A5 +81 +99 +07 +00 +A5 +7F +0A +AA +60 +A5 +83 +48 +A5 +82 +48 +A5 +81 +48 +A5 +80 +48 +A9 +11 +85 +83 +20 +3B +DE +AD +4A +02 +48 +A5 +E2 +29 +01 +85 +7F +A6 +F9 +5D +5B +02 +4A +90 +0C +A2 +01 +8E +92 +02 +20 +AC +C5 +F0 +1D +D0 +28 +AD +91 +02 +F0 +0C +C5 +81 +F0 +1F +85 +81 +20 +60 +D4 +4C +3D +D7 +A9 +01 +8D +92 +02 +20 +17 +C6 +D0 +0D +20 +8D +D4 +A5 +81 +8D +91 +02 +A9 +02 +8D +92 +02 +AD +92 +02 +20 +C8 +D4 +68 +8D +4A +02 +C9 +04 +D0 +02 +09 +80 +20 +F1 +CF +68 +8D +80 +02 +20 +F1 +CF +68 +8D +85 +02 +20 +F1 +CF +20 +93 +DF +A8 +AD +7A +02 +AA +A9 +10 +20 +6E +C6 +A0 +10 +A9 +00 +91 +94 +C8 +C0 +1B +90 +F9 +AD +4A +02 +C9 +04 +D0 +13 +A0 +10 +AD +59 +02 +91 +94 +C8 +AD +5A +02 +91 +94 +C8 +AD +58 +02 +91 +94 +20 +64 +D4 +68 +85 +82 +AA +68 +85 +83 +AD +91 +02 +85 +D8 +9D +60 +02 +AD +92 +02 +85 +DD +9D +66 +02 +AD +4A +02 +85 +E7 +A5 +7F +85 +E2 +60 +A5 +83 +8D +4C +02 +20 +B3 +C2 +8E +2A +02 +AE +00 +02 +AD +4C +02 +D0 +2C +E0 +2A +D0 +28 +A5 +7E +F0 +4D +85 +80 +AD +6E +02 +85 +7F +85 +E2 +A9 +02 +85 +E7 +AD +6F +02 +85 +81 +20 +00 +C1 +20 +46 +DC +A9 +04 +05 +7F +A6 +82 +99 +EC +00 +4C +94 +C1 +E0 +24 +D0 +1E +AD +4C +02 +D0 +03 +4C +55 +DA +20 +D1 +C1 +AD +85 +FE +85 +80 +A9 +00 +85 +81 +20 +46 +DC +A5 +7F +09 +02 +4C +EB +D7 +E0 +23 +D0 +12 +4C +84 +CB +A9 +02 +8D +96 +02 +A9 +00 +85 +7F +8D +8E +02 +20 +42 +D0 +20 +E5 +C1 +D0 +04 +A2 +00 +F0 +0C +8A +F0 +05 +A9 +30 +4C +C8 +C1 +88 +F0 +01 +88 +8C +7A +02 +A9 +8D +20 +68 +C2 +E8 +8E +78 +02 +20 +12 +C3 +20 +CA +C3 +20 +9D +C4 +A2 +00 +8E +58 +02 +8E +97 +02 +8E +4A +02 +E8 +EC +77 +02 +B0 +10 +20 +09 +DA +E8 +EC +77 +02 +B0 +07 +C0 +04 +F0 +3E +20 +09 +DA +AE +4C +02 +86 +83 +E0 +02 +B0 +12 +8E +97 +02 +A9 +40 +8D +F9 +02 +AD +4A +02 +D0 +1B +A9 +02 +8D +4A +02 +AD +4A +02 +D0 +11 +A5 +E7 +29 +07 +8D +4A +02 +AD +80 +02 +D0 +05 +A9 +01 +8D +4A +02 +AD +97 +02 +C9 +01 +F0 +18 +4C +40 +D9 +BC +7A +02 +B9 +00 +02 +8D +58 +02 +AD +80 +02 +D0 +B7 +A9 +01 +8D +97 +02 +D0 +B0 +A5 +E7 +29 +80 +AA +D0 +14 +A9 +20 +24 +E7 +F0 +06 +20 +B6 +C8 +4C +E3 +D9 +AD +80 +02 +D0 +03 +4C +E3 +D9 +AD +00 +02 +C9 +40 +F0 +0D +8A +D0 +05 +A9 +63 +4C +C8 +C1 +A9 +33 +4C +C8 +C1 +A5 +E7 +29 +07 +CD +4A +02 +D0 +67 +C9 +04 +F0 +63 +20 +DA +DC +A5 +82 +8D +70 +02 +A9 +11 +85 +83 +20 +EB +D0 +AD +94 +02 +20 +C8 +D4 +A0 +00 +B1 +94 +09 +20 +91 +94 +A0 +1A +A5 +80 +91 +94 +C8 +A5 +81 +91 +94 +AE +70 +02 +A5 +D8 +9D +60 +02 +A5 +DD +9D +66 +02 +20 +3B +DE +20 +64 +D4 +4C +EF +D9 +AD +80 +02 +D0 +05 +A9 +62 +4C +C8 +C1 +AD +97 +02 +C9 +03 +F0 +0B +A9 +20 +24 +E7 +F0 +05 +A9 +60 +4C +C8 +C1 +A5 +E7 +29 +07 +CD +4A +02 +F0 +05 +A9 +64 +4C +C8 +C1 +A0 +00 +8C +79 +02 +AE +97 +02 +E0 +02 +D0 +1A +C9 +04 +F0 +EB +B1 +94 +29 +4F +91 +94 +A5 +83 +48 +A9 +11 +85 +83 +20 +3B +DE +20 +64 +D4 +68 +85 +83 +20 +A0 +D9 +AD +97 +02 +C9 +02 +D0 +55 +20 +2A +DA +4C +94 +C1 +A0 +13 +B1 +94 +8D +59 +02 +C8 +B1 +94 +8D +5A +02 +C8 +B1 +94 +AE +58 +02 +8D +58 +02 +8A +F0 +0A +CD +58 +02 +F0 +05 +A9 +50 +20 +C8 +C1 +AE +79 +02 +BD +80 +02 +85 +80 +BD +85 +02 +85 +81 +20 +46 +DC +A4 +82 +AE +79 +02 +B5 +D8 +99 +60 +02 +B5 +DD +99 +66 +02 +60 +A5 +E2 +29 +01 +85 +7F +20 +DA +DC +20 +E4 +D6 +A5 +83 +C9 +02 +B0 +11 +20 +3E +DE +A5 +80 +85 +7E +A5 +7F +8D +6E +02 +A5 +81 +8D +6F +02 +4C +99 +C1 +BC +7A +02 +B9 +00 +02 +A0 +04 +88 +30 +08 +D9 +B2 +FE +D0 +F8 +8C +97 +02 +A0 +05 +88 +30 +08 +D9 +B6 +FE +D0 +F8 +8C +4A +02 +60 +20 +39 +CA +A9 +80 +20 +A6 +DD +F0 +F6 +20 +95 +DE +A6 +81 +E8 +8A +D0 +05 +20 +A3 +D1 +A9 +02 +20 +C8 +D4 +A6 +82 +A9 +01 +95 +F2 +A9 +80 +05 +82 +A6 +83 +9D +2B +02 +60 +A9 +0C +8D +2A +02 +A9 +00 +AE +74 +02 +CA +F0 +0B +CA +D0 +21 +AD +01 +02 +20 +BD +C3 +30 +19 +85 +E2 +EE +77 +02 +EE +78 +02 +EE +7A +02 +A9 +80 +85 +E7 +A9 +2A +8D +00 +02 +8D +01 +02 +D0 +18 +20 +E5 +C1 +D0 +05 +20 +DC +C2 +A0 +03 +88 +88 +8C +7A +02 +20 +00 +C2 +20 +98 +C3 +20 +20 +C3 +20 +CA +C3 +20 +B7 +C7 +20 +9D +C4 +20 +9E +EC +20 +37 +D1 +A6 +82 +9D +3E +02 +A5 +7F +8D +8E +02 +09 +04 +95 +EC +A9 +00 +85 +A3 +60 +A9 +00 +8D +F9 +02 +A5 +83 +D0 +0B +A9 +00 +8D +54 +02 +20 +27 +D2 +4C +DA +D4 +C9 +0F +F0 +14 +20 +02 +DB +A5 +83 +C9 +02 +90 +F0 +AD +6C +02 +D0 +03 +4C +94 +C1 +4C +AD +C1 +A9 +0E +85 +83 +20 +02 +DB +C6 +83 +10 +F9 +AD +6C +02 +D0 +03 +4C +94 +C1 +4C +AD +C1 +A6 +83 +BD +2B +02 +C9 +FF +D0 +01 +60 +29 +0F +85 +82 +20 +25 +D1 +C9 +07 +F0 +0F +C9 +04 +F0 +11 +20 +07 +D1 +B0 +09 +20 +62 +DB +20 +A5 +DB +20 +F4 +EE +4C +27 +D2 +20 +F1 +DD +20 +1E +CF +20 +CB +E1 +A6 +D5 +86 +73 +E6 +73 +A9 +00 +85 +70 +85 +71 +A5 +D6 +38 +E9 +0E +85 +72 +20 +51 +DF +A6 +82 +A5 +70 +95 +B5 +A5 +71 +95 +BB +A9 +40 +20 +A6 +DD +F0 +03 +20 +A5 +DB +4C +27 +D2 +A6 +82 +B5 +B5 +15 +BB +D0 +0C +20 +E8 +D4 +C9 +02 +D0 +05 +A9 +0D +20 +F1 +CF +20 +E8 +D4 +C9 +02 +D0 +0F +20 +1E +CF +A6 +82 +B5 +B5 +D0 +02 +D6 +BB +D6 +B5 +A9 +00 +38 +E9 +01 +48 +A9 +00 +20 +C8 +D4 +20 +F1 +CF +68 +20 +F1 +CF +20 +C7 +D0 +20 +99 +D5 +4C +1E +CF +A6 +82 +8E +70 +02 +A5 +83 +48 +BD +60 +02 +85 +81 +BD +66 +02 +8D +94 +02 +B5 +EC +29 +01 +85 +7F +AD +85 +FE +85 +80 +20 +93 +DF +48 +85 +F9 +20 +60 +D4 +A0 +00 +BD +E0 +FE +85 +87 +AD +94 +02 +85 +86 +B1 +86 +29 +20 +F0 +43 +20 +25 +D1 +C9 +04 +F0 +44 +B1 +86 +29 +8F +91 +86 +C8 +B1 +86 +85 +80 +84 +71 +A0 +1B +B1 +86 +48 +88 +B1 +86 +D0 +0A +85 +80 +68 +85 +81 +A9 +67 +20 +45 +E6 +48 +A9 +00 +91 +86 +C8 +91 +86 +68 +A4 +71 +91 +86 +C8 +B1 +86 +85 +81 +68 +91 +86 +20 +7D +C8 +4C +29 +DC +B1 +86 +29 +0F +09 +80 +91 +86 +AE +70 +02 +A0 +1C +B5 +B5 +91 +86 +C8 +B5 +BB +91 +86 +68 +AA +A9 +90 +05 +7F +20 +90 +D5 +68 +85 +83 +4C +07 +D1 +A9 +01 +20 +E2 +D1 +20 +B6 +DC +AD +4A +02 +48 +0A +05 +7F +95 +EC +20 +9B +D0 +A6 +82 +A5 +80 +D0 +05 +A5 +81 +9D +44 +02 +68 +C9 +04 +D0 +3F +A4 +83 +B9 +2B +02 +09 +40 +99 +2B +02 +AD +58 +02 +95 +C7 +20 +8E +D2 +10 +03 +4C +0F +D2 +A6 +82 +95 +CD +AC +59 +02 +84 +80 +AC +5A +02 +84 +81 +20 +D3 +D6 +20 +73 +DE +20 +99 +D5 +A6 +82 +A9 +02 +95 +C1 +A9 +00 +20 +C8 +D4 +20 +53 +E1 +4C +3E +DE +20 +56 +D1 +A6 +82 +9D +3E +02 +A9 +88 +95 +F2 +60 +A6 +82 +B5 +A7 +0A +A8 +A9 +02 +99 +99 +00 +B5 +AE +09 +80 +95 +AE +0A +A8 +A9 +02 +99 +99 +00 +A9 +00 +95 +B5 +95 +BB +A9 +00 +9D +44 +02 +60 +20 +A9 +F1 +A9 +01 +20 +DF +D1 +20 +D0 +D6 +20 +B6 +DC +A6 +82 +AD +4A +02 +48 +0A +05 +7F +95 +EC +68 +C9 +04 +F0 +05 +A9 +01 +95 +F2 +60 +A4 +83 +B9 +2B +02 +29 +3F +09 +40 +99 +2B +02 +AD +58 +02 +95 +C7 +20 +8E +D2 +10 +03 +4C +0F +D2 +A6 +82 +95 +CD +20 +C1 +DE +20 +1E +F1 +A5 +80 +8D +59 +02 +A5 +81 +8D +5A +02 +A6 +82 +B5 +CD +20 +D3 +D6 +A9 +00 +20 +E9 +DE +A9 +00 +20 +8D +DD +A9 +11 +20 +8D +DD +A9 +00 +20 +8D +DD +AD +58 +02 +20 +8D +DD +A5 +80 +20 +8D +DD +A5 +81 +20 +8D +DD +A9 +10 +20 +E9 +DE +20 +3E +DE +A5 +80 +20 +8D +DD +A5 +81 +20 +8D +DD +20 +6C +DE +20 +99 +D5 +A9 +02 +20 +C8 +D4 +A6 +82 +38 +A9 +00 +F5 +C7 +95 +C1 +20 +E2 +E2 +20 +19 +DE +20 +5E +DE +20 +99 +D5 +20 +F4 +EE +4C +98 +DC +48 +A6 +82 +B5 +CD +4C +FD +CF +90 +06 +A6 +82 +15 +EC +D0 +06 +A6 +82 +49 +FF +35 +EC +95 +EC +60 +A6 +82 +35 +EC +60 +20 +93 +DF +AA +BD +5B +02 +29 +F0 +C9 +90 +60 +A2 +00 +86 +71 +BD +2B +02 +C9 +FF +D0 +08 +A6 +71 +E8 +E0 +10 +90 +F0 +60 +86 +71 +29 +3F +A8 +B9 +EC +00 +29 +01 +85 +70 +AE +53 +02 +B5 +E2 +29 +01 +C5 +70 +D0 +E1 +B9 +60 +02 +D5 +D8 +D0 +DA +B9 +66 +02 +D5 +DD +D0 +D3 +18 +60 +20 +9E +DF +50 +06 +20 +5E +DE +20 +99 +D5 +60 +20 +2B +DE +A5 +80 +91 +94 +C8 +A5 +81 +91 +94 +4C +05 +E1 +20 +2B +DE +B1 +94 +85 +80 +C8 +B1 +94 +85 +81 +60 +20 +2B +DE +A9 +00 +91 +94 +C8 +A6 +82 +B5 +C1 +AA +CA +8A +91 +94 +60 +20 +93 +DF +0A +AA +B5 +9A +85 +95 +A9 +00 +85 +94 +A0 +00 +60 +20 +EB +D0 +20 +93 +DF +85 +F9 +0A +A8 +B9 +06 +00 +85 +80 +B9 +07 +00 +85 +81 +60 +A9 +90 +8D +4D +02 +D0 +28 +A9 +80 +8D +4D +02 +D0 +21 +A9 +90 +8D +4D +02 +D0 +26 +A9 +80 +8D +4D +02 +D0 +1F +A9 +90 +8D +4D +02 +D0 +02 +A9 +80 +8D +4D +02 +A6 +82 +B5 +CD +AA +10 +13 +20 +D0 +D6 +20 +93 +DF +AA +A5 +7F +9D +5B +02 +20 +15 +E1 +20 +93 +DF +AA +4C +06 +D5 +A9 +00 +20 +C8 +D4 +20 +37 +D1 +85 +80 +20 +37 +D1 +85 +81 +60 +48 +A9 +00 +85 +6F +85 +71 +B9 +E0 +FE +85 +70 +BD +E0 +FE +85 +72 +68 +A8 +88 +B1 +6F +91 +71 +88 +10 +F9 +60 +A8 +B9 +E0 +FE +85 +70 +A9 +00 +85 +6F +A8 +91 +6F +C8 +D0 +FB +60 +A9 +00 +20 +DC +DE +A0 +02 +B1 +94 +60 +85 +94 +A6 +82 +B5 +CD +AA +BD +E0 +FE +85 +95 +60 +48 +20 +DC +DE +48 +8A +0A +AA +68 +95 +9A +68 +95 +99 +60 +20 +66 +DF +30 +0E +50 +13 +A6 +82 +B5 +CD +20 +1B +DF +20 +66 +DF +10 +07 +20 +CB +E1 +2C +CE +FE +60 +A5 +D6 +20 +E9 +DE +2C +CD +FE +60 +85 +F9 +A9 +80 +D0 +04 +85 +F9 +A9 +90 +48 +B5 +EC +29 +01 +85 +7F +68 +05 +7F +8D +4D +02 +B1 +94 +85 +80 +C8 +B1 +94 +85 +81 +A5 +F9 +20 +D3 +D6 +A6 +F9 +4C +93 +D5 +A6 +82 +B5 +CD +4C +EB +D4 +A9 +78 +20 +5C +DF +CA +10 +F8 +A5 +72 +4A +20 +5C +DF +A5 +73 +18 +65 +70 +85 +70 +90 +02 +E6 +71 +60 +20 +D2 +DE +C5 +D5 +D0 +0E +A4 +D6 +B1 +94 +F0 +04 +2C +CD +FE +60 +2C +CF +FE +60 +A5 +D5 +C9 +06 +B0 +0A +0A +A8 +A9 +04 +85 +94 +B1 +94 +D0 +04 +2C +D0 +FE +60 +2C +CE +FE +60 +A6 +82 +B5 +A7 +10 +02 +B5 +AE +29 +BF +60 +A6 +82 +8E +57 +02 +B5 +A7 +10 +09 +8A +18 +69 +07 +8D +57 +02 +B5 +AE +85 +70 +29 +1F +24 +70 +60 +A6 +82 +B5 +A7 +30 +02 +B5 +AE +C9 +FF +60 +A6 +82 +09 +80 +B4 +A7 +10 +03 +95 +A7 +60 +95 +AE +60 +A9 +20 +20 +9D +DD +A9 +80 +20 +A6 +DD +D0 +41 +A6 +82 +F6 +B5 +D0 +02 +F6 +BB +A6 +82 +B5 +C1 +F0 +2E +20 +E8 +D4 +A6 +82 +D5 +C1 +90 +03 +20 +3C +E0 +A6 +82 +B5 +C1 +20 +C8 +D4 +A1 +99 +85 +C0 +90 +30 +06 +C0 +01 +10 +02 +A9 +23 +4C +13 +E0 +C9 +23 +90 +02 +A9 +23 +AE +D6 +FE +4C +4E +F2 +0A +C9 +90 +90 +03 +69 +2F +95 +99 +4C +7A +F0 +A9 +0D +85 +85 +60 +20 +35 +E0 +A6 +82 +A9 +00 +95 +C1 +60 +68 +A6 +82 +95 +C1 +4C +6E +E1 +20 +D3 +D1 +20 +95 +DE +20 +9E +DF +50 +16 +20 +5E +DE +20 +1E +CF +A9 +02 +20 +C8 +D4 +20 +AB +DD +D0 +24 +20 +57 +DE +4C +99 +D5 +20 +1E +CF +20 +AB +DD +D0 +06 +20 +57 +DE +20 +99 +D5 +20 +95 +DE +A5 +80 +F0 +09 +20 +1E +CF +20 +57 +DE +20 +1E +CF +60 +85 +C7 +A5 +12 +C9 +40 +F0 +00 +A5 +13 +C9 +40 +F0 +00 +A5 +C7 +4C +96 +E0 +EA +4C +F0 +EE +4C +C7 +EE +A5 +C7 +C0 +90 +F0 +07 +C0 +D4 +D0 +F3 +4C +F0 +EE +98 +69 +2F +A8 +A5 +C7 +4C +93 +E0 +20 +3A +EF +A0 +04 +A9 +00 +AA +18 +71 +6D +90 +01 +E8 +C8 +C8 +C8 +C8 +C0 +48 +F0 +F8 +85 +C7 +A5 +12 +C9 +40 +F0 +00 +A5 +13 +C9 +40 +F0 +00 +4C +DC +E0 +90 +F0 +EA +4C +B4 +E0 +4C +F4 +E0 +A5 +C7 +C0 +90 +F0 +07 +C0 +D4 +F0 +0C +4C +B4 +E0 +98 +69 +2F +A8 +A5 +C7 +4C +B4 +E0 +A5 +C7 +48 +8A +A6 +7F +9D +FC +02 +68 +9D +FA +02 +60 +EA +60 +60 +60 +60 +A9 +40 +20 +97 +DD +20 +9E +DF +09 +40 +AE +57 +02 +95 +A7 +60 +20 +9E +DF +29 +BF +AE +57 +02 +95 +A7 +60 +A9 +80 +20 +A6 +DD +D0 +37 +20 +2F +D1 +B5 +99 +D9 +44 +02 +F0 +22 +F6 +99 +D0 +06 +20 +3C +E0 +20 +2F +D1 +A1 +99 +99 +3E +02 +A9 +89 +99 +F2 +00 +B5 +99 +D9 +44 +02 +F0 +01 +60 +A9 +81 +99 +F2 +00 +60 +20 +D0 +DF +20 +2F +D1 +A5 +85 +4C +3D +E1 +A6 +82 +A9 +0D +9D +3E +02 +A9 +81 +95 +F2 +A9 +50 +20 +C8 +C1 +A6 +82 +B5 +C1 +85 +87 +C6 +87 +C9 +02 +D0 +04 +A9 +FF +85 +87 +B5 +C7 +85 +88 +20 +E8 +D4 +A6 +82 +C5 +87 +90 +19 +F0 +17 +20 +1E +CF +20 +B2 +E1 +90 +08 +A6 +82 +9D +44 +02 +4C +1E +CF +20 +1E +CF +A9 +FF +85 +87 +20 +B2 +E1 +B0 +03 +20 +E8 +D4 +A6 +82 +9D +44 +02 +60 +20 +2B +DE +A4 +87 +B1 +94 +D0 +0D +88 +C0 +02 +90 +04 +C6 +88 +D0 +F3 +C6 +88 +18 +60 +98 +38 +60 +20 +D2 +DE +85 +D5 +A9 +04 +85 +94 +A0 +0A +D0 +04 +88 +88 +30 +26 +B1 +94 +F0 +F8 +98 +4A +C5 +D5 +F0 +09 +85 +D5 +A6 +82 +B5 +CD +20 +1B +DF +A0 +00 +84 +94 +B1 +94 +D0 +0B +C8 +B1 +94 +A8 +88 +84 +D6 +98 +4C +E9 +DE +A9 +67 +20 +45 +E6 +20 +D1 +C1 +20 +10 +E2 +4C +94 +C1 +20 +D1 +F0 +20 +13 +D3 +20 +0E +D0 +A6 +7F +A9 +00 +9D +51 +02 +8A +0A +AA +A5 +16 +95 +12 +A5 +17 +95 +13 +20 +86 +D5 +A5 +F9 +0A +AA +A9 +02 +95 +99 +A1 +99 +A6 +7F +9D +01 +01 +A9 +00 +95 +1C +95 +FF +4C +AC +E0 +0A +C9 +90 +90 +02 +69 +2F +95 +99 +4C +7A +F0 +0A +C9 +90 +90 +02 +69 +2F +95 +99 +4C +BA +F0 +80 +20 +97 +DD +4C +5E +E1 +20 +75 +E2 +A9 +80 +20 +A6 +DD +F0 +03 +4C +5E +E1 +4C +94 +C1 +20 +9C +E2 +A5 +D7 +20 +C8 +D4 +A6 +82 +B5 +C7 +38 +E5 +D4 +B0 +03 +4C +02 +E2 +18 +65 +D7 +90 +03 +69 +01 +38 +20 +09 +E0 +4C +38 +E1 +A9 +51 +20 +C8 +C1 +A5 +94 +85 +89 +A5 +95 +85 +8A +20 +D0 +E2 +D0 +01 +60 +20 +F1 +DD +20 +0C +DE +A5 +80 +F0 +0E +20 +D3 +E2 +D0 +06 +20 +1E +CF +4C +DA +D2 +20 +DA +D2 +A0 +00 +B1 +89 +85 +80 +C8 +B1 +89 +85 +81 +4C +AF +D0 +20 +3E +DE +A0 +00 +B1 +89 +C5 +80 +F0 +01 +60 +C8 +B1 +89 +C5 +81 +60 +20 +2B +DE +A0 +02 +A9 +00 +91 +94 +C8 +D0 +FB +20 +04 +E3 +95 +C1 +A8 +A9 +FF +91 +94 +20 +04 +E3 +90 +F4 +D0 +04 +A9 +00 +95 +C1 +60 +A6 +82 +B5 +C1 +38 +F0 +0D +18 +75 +C7 +90 +0B +D0 +06 +A9 +02 +2C +CC +FE +60 +69 +01 +38 +60 +20 +D3 +D1 +20 +CB +E1 +20 +9C +E2 +20 +7B +CF +A5 +D6 +85 +87 +A5 +D5 +85 +86 +A9 +00 +85 +88 +A9 +00 +85 +D4 +20 +0E +CE +20 +4D +EF +A4 +82 +B6 +C7 +CA +8A +18 +65 +D7 +90 +0C +E6 +D6 +E6 +D6 +D0 +06 +E6 +D5 +A9 +10 +85 +D6 +A5 +87 +18 +69 +02 +20 +E9 +DE +A5 +D5 +C9 +06 +90 +05 +A9 +52 +20 +C8 +C1 +A5 +D6 +38 +E5 +87 +B0 +03 +E9 +0F +18 +85 +72 +A5 +D5 +E5 +86 +85 +73 +A2 +00 +86 +70 +86 +71 +AA +20 +51 +DF +A5 +71 +D0 +07 +A6 +70 +CA +D0 +02 +E6 +88 +CD +73 +02 +90 +09 +D0 +CD +AD +72 +02 +C5 +70 +90 +C6 +A9 +01 +20 +F6 +D4 +18 +69 +01 +A6 +82 +95 +C1 +20 +1E +F1 +20 +FD +DD +A5 +88 +D0 +15 +20 +5E +DE +20 +1E +CF +20 +D0 +D6 +20 +1E +F1 +20 +FD +DD +20 +E2 +E2 +4C +D4 +E3 +20 +1E +CF +20 +D0 +D6 +20 +E2 +E2 +20 +19 +DE +20 +5E +DE +20 +0C +DE +A5 +80 +48 +A5 +81 +48 +20 +3E +DE +A5 +81 +48 +A5 +80 +48 +20 +45 +DF +AA +D0 +0A +20 +4E +E4 +A9 +10 +20 +E9 +DE +E6 +86 +68 +20 +8D +DD +68 +20 +8D +DD +68 +85 +81 +68 +85 +80 +F0 +0F +A5 +86 +C5 +D5 +D0 +A7 +20 +45 +DF +C5 +D6 +90 +A0 +F0 +B0 +20 +45 +DF +48 +A9 +00 +20 +DC +DE +A9 +00 +A8 +91 +94 +C8 +68 +38 +E9 +01 +91 +94 +20 +6C +DE +20 +99 +D5 +20 +F4 +EE +20 +0E +CE +20 +1E +CF +20 +F8 +DE +70 +03 +4C +75 +E2 +A9 +80 +20 +97 +DD +A9 +50 +20 +C8 +C1 +20 +1E +F1 +20 +1E +CF +20 +F1 +DD +20 +93 +DF +48 +20 +C1 +DE +A6 +82 +B5 +CD +A8 +68 +AA +A9 +10 +20 +A5 +DE +A9 +00 +20 +DC +DE +A0 +02 +B1 +94 +48 +A9 +00 +20 +C8 +D4 +68 +18 +69 +01 +91 +94 +0A +69 +04 +85 +89 +A8 +38 +E9 +02 +85 +8A +A5 +80 +85 +87 +91 +94 +C8 +A5 +81 +85 +88 +91 +94 +A0 +00 +98 +91 +94 +C8 +A9 +11 +91 +94 +A9 +10 +20 +C8 +D4 +20 +50 +DE +20 +99 +D5 +A6 +82 +B5 +CD +48 +20 +9E +DF +A6 +82 +95 +CD +68 +AE +57 +02 +95 +A7 +A9 +00 +20 +C8 +D4 +A0 +00 +A5 +80 +91 +94 +C8 +A5 +81 +91 +94 +4C +DE +E4 +20 +93 +DF +A6 +82 +20 +1B +DF +A9 +00 +20 +C8 +D4 +C6 +8A +C6 +8A +A4 +89 +A5 +87 +91 +94 +C8 +A5 +88 +91 +94 +20 +5E +DE +20 +99 +D5 +A4 +8A +C0 +03 +B0 +D8 +4C +1E +CF +00 +A0 +4F +CB +20 +21 +22 +23 +24 +27 +D2 +45 +41 +44 +89 +52 +83 +20 +54 +4F +4F +20 +4C +41 +52 +47 +C5 +50 +8B +06 +20 +50 +52 +45 +53 +45 +4E +D4 +51 +CF +56 +45 +52 +46 +4C +4F +57 +20 +49 +4E +8B +25 +28 +8A +89 +26 +8A +20 +50 +52 +4F +54 +45 +43 +54 +20 +4F +CE +29 +88 +20 +49 +44 +85 +30 +31 +32 +33 +34 +D3 +59 +4E +54 +41 +58 +89 +60 +8A +03 +84 +63 +83 +20 +45 +58 +49 +53 +54 +D3 +64 +83 +20 +54 +59 +50 +45 +85 +65 +CE +4F +20 +42 +4C +4F +43 +CB +66 +67 +C9 +4C +4C +45 +47 +41 +4C +20 +54 +52 +41 +43 +4B +20 +4F +52 +20 +53 +45 +43 +54 +4F +D2 +61 +83 +06 +84 +39 +62 +83 +06 +87 +01 +83 +53 +20 +53 +43 +52 +41 +54 +43 +48 +45 +C4 +70 +CE +4F +20 +43 +48 +41 +4E +4E +45 +CC +71 +C4 +49 +52 +89 +72 +88 +20 +46 +55 +4C +CC +73 +D3 +50 +45 +45 +44 +44 +4F +53 +20 +2B +2B +20 +20 +31 +35 +34 +B1 +74 +C4 +52 +49 +56 +45 +06 +20 +52 +45 +41 +44 +D9 +09 +C5 +52 +52 +4F +D2 +0A +D7 +52 +49 +54 +C5 +03 +C6 +49 +4C +C5 +04 +CF +50 +45 +CE +05 +CD +49 +53 +4D +41 +54 +43 +C8 +06 +CE +4F +D4 +07 +C6 +4F +55 +4E +C4 +08 +C4 +49 +53 +CB +0B +D2 +45 +43 +4F +52 +C4 +48 +86 +F9 +8A +0A +AA +B5 +06 +85 +80 +B5 +07 +85 +81 +68 +29 +0F +F0 +08 +C9 +0F +D0 +06 +A9 +74 +D0 +08 +A9 +06 +09 +20 +AA +CA +CA +8A +48 +AD +2A +02 +C9 +00 +D0 +0F +A9 +FF +8D +2A +02 +68 +20 +C7 +E6 +20 +10 +E2 +4C +48 +E6 +68 +20 +C7 +E6 +20 +BD +C1 +A9 +00 +8D +F9 +02 +20 +2C +C1 +20 +DA +D4 +A9 +00 +85 +A3 +A2 +45 +9A +A5 +84 +29 +0F +85 +83 +C9 +0F +F0 +31 +78 +A5 +79 +D0 +1C +A5 +7A +D0 +10 +A6 +83 +BD +2B +02 +C9 +FF +F0 +1F +29 +0F +85 +82 +4C +8E +E6 +20 +EB +D0 +EA +EA +EA +D0 +06 +20 +07 +D1 +EA +EA +EA +20 +25 +D1 +C9 +04 +B0 +03 +20 +27 +D2 +4C +E7 +EB +AA +A9 +00 +F8 +E0 +00 +F0 +07 +18 +69 +01 +CA +4C +9F +E6 +D8 +AA +4A +4A +4A +4A +20 +B4 +E6 +8A +29 +0F +09 +30 +91 +A5 +C8 +60 +20 +23 +C1 +A9 +00 +A0 +00 +84 +80 +84 +81 +A0 +00 +A2 +D5 +86 +A5 +A2 +02 +86 +A6 +20 +AB +E6 +A9 +2C +91 +A5 +C8 +AD +D5 +02 +8D +43 +02 +8A +20 +06 +E7 +A9 +2C +91 +A5 +C8 +A5 +80 +20 +9B +E6 +A9 +2C +91 +A5 +C8 +A5 +81 +20 +9B +E6 +88 +98 +18 +69 +D5 +8D +49 +02 +E6 +A5 +A9 +88 +85 +F7 +60 +AA +A5 +86 +48 +A5 +87 +48 +A9 +FC +85 +86 +A9 +E4 +85 +87 +8A +A2 +00 +C1 +86 +F0 +21 +48 +20 +75 +E7 +90 +05 +20 +75 +E7 +90 +FB +A5 +87 +C9 +E6 +90 +08 +D0 +0A +A9 +0A +C5 +86 +90 +04 +68 +4C +18 +E7 +68 +4C +4D +E7 +20 +67 +E7 +90 +FB +20 +54 +E7 +20 +67 +E7 +90 +F8 +20 +54 +E7 +68 +85 +87 +68 +85 +86 +60 +C9 +20 +B0 +0B +AA +A9 +20 +91 +A5 +C8 +8A +20 +06 +E7 +60 +91 +A5 +C8 +60 +E6 +86 +D0 +02 +E6 +87 +A1 +86 +0A +A1 +86 +29 +7F +60 +20 +6D +E7 +E6 +86 +D0 +02 +E6 +87 +60 +60 +60 +8D +03 +18 +A9 +68 +8D +00 +1C +A9 +6F +8D +02 +1C +4C +B1 +EA +AD +00 +18 +29 +01 +D0 +F9 +A9 +01 +8D +05 +18 +4C +DF +E9 +EA +EA +60 +A9 +8D +20 +68 +C2 +20 +58 +F2 +AD +78 +02 +48 +A9 +01 +8D +78 +02 +A9 +FF +85 +86 +20 +4F +C4 +AD +80 +02 +D0 +05 +A9 +39 +20 +C8 +C1 +68 +8D +78 +02 +AD +80 +02 +85 +80 +AD +85 +02 +85 +81 +A9 +03 +20 +77 +D4 +A9 +00 +85 +87 +20 +39 +E8 +85 +88 +20 +4B +E8 +20 +39 +E8 +85 +89 +20 +4B +E8 +A5 +86 +F0 +0A +A5 +88 +48 +A5 +89 +48 +A9 +00 +85 +86 +20 +39 +E8 +85 +8A +20 +4B +E8 +20 +39 +E8 +A0 +00 +91 +88 +20 +4B +E8 +A5 +88 +18 +69 +01 +85 +88 +90 +02 +E6 +89 +C6 +8A +D0 +E7 +20 +35 +CA +A5 +85 +C5 +87 +F0 +08 +20 +3E +DE +A9 +50 +20 +45 +E6 +A5 +F8 +D0 +A8 +68 +85 +89 +68 +85 +88 +6C +88 +00 +20 +35 +CA +A5 +F8 +D0 +08 +20 +3E +DE +A9 +51 +20 +45 +E6 +A5 +85 +60 +18 +65 +87 +69 +00 +85 +87 +60 +AD +01 +18 +A9 +01 +85 +7C +60 +78 +A9 +00 +85 +7C +85 +79 +85 +7A +A2 +45 +9A +A9 +80 +85 +F8 +85 +7D +20 +B7 +E9 +20 +A5 +E9 +AD +00 +18 +09 +10 +8D +00 +18 +AD +00 +18 +10 +57 +29 +04 +D0 +F7 +20 +10 +FF +C9 +3F +D0 +06 +A9 +00 +85 +79 +F0 +71 +C9 +5F +D0 +06 +A9 +00 +85 +7A +F0 +67 +C5 +78 +D0 +0A +A9 +01 +85 +7A +A9 +00 +85 +79 +F0 +29 +C5 +77 +D0 +0A +A9 +01 +85 +79 +A9 +00 +85 +7A +F0 +1B +AA +29 +60 +C9 +60 +D0 +3F +8A +85 +84 +29 +0F +85 +83 +A5 +84 +29 +F0 +C9 +E0 +D0 +35 +58 +20 +C0 +DA +78 +2C +00 +18 +30 +AD +A9 +00 +85 +7D +AD +00 +18 +29 +EF +8D +00 +18 +A5 +79 +F0 +06 +20 +2E +EA +4C +E7 +EB +A5 +7A +F0 +09 +20 +9C +E9 +20 +AE +E9 +20 +09 +E9 +4C +4E +EA +A9 +10 +8D +00 +18 +2C +00 +18 +10 +D0 +30 +F9 +78 +4C +6B +FB +B0 +00 +A6 +82 +B5 +F2 +4C +6E +FF +20 +59 +EA +20 +C0 +E9 +29 +01 +08 +20 +B7 +E9 +28 +F0 +12 +20 +59 +EA +20 +C0 +E9 +29 +01 +D0 +F6 +A6 +82 +B5 +F2 +29 +08 +D0 +14 +20 +59 +EA +20 +C0 +E9 +29 +01 +D0 +F6 +20 +59 +EA +20 +C0 +E9 +29 +01 +F0 +F6 +20 +AE +E9 +20 +59 +EA +20 +C0 +E9 +29 +01 +D0 +F3 +A9 +08 +85 +98 +20 +C0 +E9 +29 +01 +D0 +36 +A6 +82 +BD +3E +02 +6A +9D +3E +02 +B0 +05 +20 +A5 +E9 +D0 +03 +20 +9C +E9 +20 +B7 +E9 +A5 +23 +D0 +03 +20 +F3 +FE +20 +FB +FE +C6 +98 +D0 +D5 +20 +59 +EA +20 +C0 +E9 +29 +01 +F0 +F6 +58 +20 +AA +D3 +78 +4C +0F +E9 +4C +4E +EA +AD +00 +18 +29 +FD +8D +00 +18 +60 +AD +00 +18 +09 +02 +8D +00 +18 +60 +AD +00 +18 +09 +08 +8D +00 +18 +60 +AD +00 +18 +29 +F7 +8D +00 +18 +60 +AD +00 +18 +CD +00 +18 +D0 +F8 +60 +4C +2D +FF +EA +20 +59 +EA +20 +C0 +E9 +29 +04 +D0 +F6 +20 +9C +E9 +A9 +01 +4C +91 +E7 +20 +59 +EA +AD +0D +18 +29 +40 +D0 +09 +20 +C0 +E9 +29 +04 +F0 +EF +D0 +19 +20 +A5 +E9 +A2 +0A +CA +D0 +FD +20 +9C +E9 +20 +59 +EA +20 +C0 +E9 +29 +04 +F0 +F6 +A9 +00 +85 +F8 +AD +00 +18 +49 +01 +4A +29 +02 +D0 +F6 +EA +EA +EA +66 +85 +20 +59 +EA +20 +C0 +E9 +29 +04 +F0 +F6 +C6 +98 +D0 +E3 +20 +A5 +E9 +A5 +85 +60 +78 +20 +07 +D1 +B0 +05 +B5 +F2 +6A +B0 +0B +A5 +84 +29 +F0 +C9 +F0 +F0 +03 +4C +4E +EA +20 +C9 +E9 +58 +20 +B7 +CF +4C +2E +EA +A9 +00 +8D +00 +18 +4C +E7 +EB +4C +5B +E8 +A5 +7D +F0 +06 +AD +00 +18 +10 +09 +60 +AD +00 +18 +10 +FA +4C +5B +E8 +4C +D7 +E8 +A2 +00 +2C +A6 +6F +9A +BA +A9 +08 +0D +00 +1C +4C +EA +FE +98 +18 +69 +01 +D0 +FC +88 +D0 +F8 +AD +00 +1C +29 +F7 +8D +00 +1C +98 +18 +69 +01 +D0 +FC +88 +D0 +F8 +CA +10 +DB +E0 +FC +D0 +F0 +F0 +D4 +78 +D8 +A9 +02 +8D +00 +18 +A9 +1A +8D +02 +18 +A9 +00 +4C +81 +E7 +A0 +FF +A2 +00 +8A +95 +00 +E8 +D0 +FA +8A +D5 +00 +D0 +AE +94 +00 +F6 +00 +D0 +A8 +E8 +D0 +F2 +E6 +6F +86 +76 +A9 +00 +85 +75 +A8 +A2 +20 +18 +C6 +76 +71 +75 +C8 +D0 +FB +CA +D0 +F6 +69 +00 +AA +C5 +76 +A2 +E0 +E0 +E0 +D0 +DF +A9 +01 +85 +76 +E6 +6F +A2 +07 +98 +18 +65 +76 +91 +75 +C8 +D0 +F7 +E6 +76 +CA +D0 +F2 +A2 +07 +C6 +76 +88 +98 +18 +65 +76 +D1 +75 +D0 +12 +49 +FF +91 +75 +51 +75 +91 +75 +D0 +08 +98 +D0 +EA +CA +D0 +E5 +F0 +03 +4C +71 +EA +A2 +45 +9A +AD +00 +1C +29 +F7 +8D +00 +1C +A9 +01 +8D +0C +18 +A9 +82 +8D +0D +18 +8D +0E +18 +AD +00 +18 +29 +60 +0A +2A +2A +2A +09 +48 +85 +78 +49 +60 +85 +77 +A2 +00 +A0 +00 +A9 +00 +95 +99 +E8 +B9 +E0 +FE +95 +99 +E8 +C8 +C0 +05 +D0 +F0 +A9 +00 +95 +99 +E8 +A9 +02 +95 +99 +E8 +A9 +D5 +95 +99 +E8 +A9 +02 +95 +99 +A9 +FF +A2 +12 +9D +2B +02 +CA +10 +FA +A2 +05 +95 +A7 +95 +AE +95 +CD +CA +10 +F7 +A9 +05 +85 +AB +A9 +06 +85 +AC +A9 +FF +85 +AD +85 +B4 +A9 +05 +8D +3B +02 +A9 +84 +8D +3A +02 +A9 +0F +8D +56 +02 +A9 +01 +85 +F6 +A9 +88 +85 +F7 +A9 +E0 +8D +4F +02 +A9 +FF +8D +50 +02 +A9 +01 +85 +1C +85 +1D +20 +63 +CB +20 +FA +CE +20 +59 +F2 +A9 +22 +85 +65 +A9 +EB +85 +66 +A9 +0A +85 +69 +A9 +05 +85 +6A +A9 +73 +20 +C1 +E6 +A9 +00 +8D +00 +18 +A9 +1A +8D +02 +18 +20 +B6 +FF +58 +AD +00 +18 +29 +E5 +8D +00 +18 +AD +55 +02 +F0 +0A +A9 +00 +8D +55 +02 +85 +67 +20 +46 +C1 +58 +A5 +7C +F0 +03 +4C +5B +E8 +58 +A9 +0E +85 +72 +A9 +00 +85 +6F +85 +70 +A6 +72 +BD +2B +02 +C9 +FF +F0 +10 +29 +3F +85 +82 +20 +93 +DF +AA +BD +5B +02 +29 +01 +AA +F6 +6F +C6 +72 +10 +E3 +A0 +04 +B9 +00 +00 +10 +05 +29 +01 +AA +F6 +6F +88 +10 +F3 +78 +AD +00 +1C +29 +F7 +48 +A5 +7F +85 +86 +A9 +00 +85 +7F +A5 +6F +F0 +0B +A5 +1C +F0 +03 +20 +13 +D3 +68 +09 +08 +48 +A5 +1D +D0 +02 +E6 +1D +A6 +1E +10 +07 +20 +2D +FB +68 +09 +0C +48 +A5 +86 +85 +7F +68 +AE +6C +02 +F0 +21 +AD +00 +1C +E0 +80 +D0 +03 +4C +8B +EC +AE +05 +18 +30 +12 +A2 +A0 +8E +05 +18 +CE +6C +02 +D0 +08 +4D +6D +02 +A2 +10 +8E +6C +02 +8D +00 +1C +4C +FF +EB +A9 +00 +85 +83 +A9 +01 +20 +E2 +D1 +A9 +00 +20 +C8 +D4 +A6 +82 +A9 +00 +9D +44 +02 +20 +93 +DF +AA +A5 +7F +9D +5B +02 +A9 +01 +20 +F1 +CF +A9 +08 +20 +F1 +CF +A9 +01 +20 +F1 +CF +20 +F1 +CF +AD +72 +02 +20 +F1 +CF +A9 +00 +20 +F1 +CF +20 +59 +ED +20 +93 +DF +0A +AA +D6 +99 +D6 +99 +A9 +00 +20 +F1 +CF +A9 +01 +20 +F1 +CF +20 +F1 +CF +20 +CE +C6 +90 +2C +AD +72 +02 +20 +F1 +CF +AD +73 +02 +20 +F1 +CF +20 +59 +ED +A9 +00 +20 +F1 +CF +D0 +DD +20 +93 +DF +0A +AA +A9 +00 +95 +99 +A9 +88 +A4 +82 +8D +54 +02 +99 +F2 +00 +A5 +85 +60 +AD +72 +02 +20 +F1 +CF +AD +73 +02 +20 +F1 +CF +20 +59 +ED +20 +93 +DF +0A +AA +D6 +99 +D6 +99 +A9 +00 +20 +F1 +CF +20 +F1 +CF +20 +F1 +CF +20 +93 +DF +0A +A8 +B9 +99 +00 +A6 +82 +9D +44 +02 +DE +44 +02 +4C +0D +ED +A0 +00 +B9 +B1 +02 +20 +F1 +CF +C8 +C0 +1B +D0 +F5 +60 +20 +37 +D1 +F0 +01 +60 +85 +85 +A4 +82 +B9 +44 +02 +F0 +08 +A9 +80 +99 +F2 +00 +A5 +85 +60 +48 +20 +EA +EC +68 +60 +20 +D1 +C1 +20 +10 +E2 +A9 +40 +8D +F9 +02 +20 +B7 +EE +A9 +00 +8D +92 +02 +20 +AC +C5 +D0 +3D +A9 +00 +85 +81 +AD +85 +FE +85 +80 +20 +E5 +ED +A9 +00 +8D +F9 +02 +20 +FF +EE +4C +94 +C1 +C8 +B1 +94 +48 +C8 +B1 +94 +48 +A0 +13 +B1 +94 +F0 +0A +85 +80 +C8 +B1 +94 +85 +81 +20 +E5 +ED +68 +85 +81 +68 +85 +80 +20 +E5 +ED +20 +04 +C6 +F0 +C3 +A0 +00 +B1 +94 +30 +D4 +20 +B6 +C8 +4C +D4 +ED +20 +5F +D5 +20 +90 +EF +20 +75 +D4 +A9 +00 +20 +C8 +D4 +20 +37 +D1 +85 +80 +20 +37 +D1 +85 +81 +A5 +80 +D0 +03 +4C +27 +D2 +20 +90 +EF +20 +4D +D4 +4C +EE +ED +20 +12 +C3 +A5 +E2 +10 +05 +A9 +33 +4C +C8 +C1 +29 +01 +85 +7F +20 +00 +C1 +A5 +7F +0A +AA +AC +7B +02 +CC +74 +02 +F0 +1A +B9 +00 +02 +95 +12 +B9 +01 +02 +95 +13 +20 +60 +FB +A9 +01 +85 +80 +20 +C6 +C8 +20 +05 +F0 +4C +56 +EE +20 +10 +E2 +A6 +7F +BD +01 +01 +CD +D5 +FE +F0 +03 +4C +72 +D5 +20 +B7 +EE +A5 +F9 +A8 +0A +AA +AD +88 +FE +95 +99 +AE +7A +02 +A9 +1B +20 +6E +C6 +A0 +12 +A6 +7F +AD +D5 +FE +9D +01 +01 +8A +0A +AA +B5 +12 +91 +94 +C8 +B5 +13 +91 +94 +C8 +C8 +A9 +32 +91 +94 +C8 +AD +D5 +FE +91 +94 +A0 +02 +91 +6D +AD +85 +FE +85 +80 +20 +93 +EF +A9 +01 +85 +81 +20 +93 +EF +20 +FF +EE +20 +05 +F0 +A0 +01 +A9 +FF +91 +6D +20 +64 +D4 +C6 +81 +20 +60 +D4 +4C +94 +C1 +20 +D1 +F0 +A0 +00 +A9 +12 +91 +6D +C8 +98 +91 +6D +C8 +C8 +C8 +A9 +00 +85 +6F +85 +70 +85 +71 +98 +4A +4A +20 +00 +E0 +91 +6D +C8 +AA +38 +26 +6F +26 +70 +26 +71 +CA +D0 +F6 +B5 +6F +91 +6D +C8 +E8 +E0 +03 +90 +F6 +4C +7C +E0 +4C +AC +E0 +EA +20 +93 +DF +AA +BD +5B +02 +29 +01 +85 +7F +A4 +7F +B9 +51 +02 +D0 +01 +60 +A9 +00 +99 +51 +02 +20 +3A +EF +A5 +7F +0A +48 +20 +A5 +F0 +68 +18 +69 +01 +20 +A5 +F0 +A5 +80 +48 +A9 +01 +85 +80 +0A +0A +85 +6D +20 +20 +F2 +E6 +80 +A5 +80 +CD +D7 +FE +90 +F0 +68 +85 +80 +4C +8A +D5 +20 +0F +F1 +AA +20 +DF +F0 +A6 +F9 +BD +E0 +FE +85 +6E +A9 +00 +85 +6D +60 +A6 +7F +BD +FA +02 +8D +72 +02 +BD +FC +02 +8D +73 +02 +60 +20 +F1 +EF +20 +CF +EF +38 +D0 +22 +B1 +6D +1D +E9 +EF +91 +6D +20 +88 +EF +A4 +6F +18 +B1 +6D +69 +01 +91 +6D +A5 +80 +CD +85 +FE +F0 +3B +FE +FA +02 +D0 +03 +FE +FC +02 +60 +A6 +7F +A9 +01 +9D +51 +02 +60 +20 +F1 +EF +20 +CF +EF +F0 +36 +B1 +6D +5D +E9 +EF +91 +6D +20 +88 +EF +A4 +6F +B1 +6D +38 +E9 +01 +91 +6D +A5 +80 +CD +85 +FE +F0 +0B +BD +FA +02 +D0 +03 +DE +FC +02 +DE +FA +02 +BD +FC +02 +D0 +0C +BD +FA +02 +C9 +03 +B0 +05 +A9 +72 +20 +C7 +E6 +60 +20 +11 +F0 +98 +85 +6F +A5 +81 +4A +4A +4A +38 +65 +6F +A8 +A5 +81 +29 +07 +AA +B1 +6D +3D +E9 +EF +60 +01 +02 +04 +08 +10 +20 +40 +80 +A9 +FF +2C +F9 +02 +F0 +0C +10 +0A +70 +08 +A9 +00 +8D +F9 +02 +4C +8A +D5 +60 +20 +3A +EF +A0 +00 +98 +91 +6D +C8 +D0 +FB +60 +A5 +6F +48 +A5 +70 +48 +A6 +7F +B5 +FF +F0 +05 +A9 +74 +20 +48 +E6 +20 +0F +F1 +85 +6F +8A +0A +85 +70 +AA +A5 +80 +DD +9D +02 +F0 +0B +E8 +86 +70 +DD +9D +02 +F0 +03 +20 +5B +F0 +A5 +70 +A6 +7F +9D +9B +02 +0A +0A +18 +69 +A1 +85 +6D +A9 +02 +69 +00 +85 +6E +A0 +00 +68 +85 +70 +68 +85 +6F +60 +A6 +6F +20 +DF +F0 +A5 +7F +AA +0A +1D +9B +02 +49 +01 +29 +03 +85 +70 +20 +A5 +F0 +A5 +F9 +0A +AA +A5 +80 +0A +4C +46 +E2 +A5 +70 +0A +0A +A8 +A1 +99 +99 +A1 +02 +A9 +00 +81 +99 +F6 +99 +C8 +98 +29 +03 +D0 +EF +A6 +70 +A5 +80 +9D +9D +02 +AD +F9 +02 +D0 +03 +4C +8A +D5 +09 +80 +8D +F9 +02 +60 +A8 +B9 +9D +02 +F0 +25 +48 +A9 +00 +99 +9D +02 +A5 +F9 +0A +AA +68 +0A +4C +52 +E2 +98 +0A +0A +A8 +B9 +A1 +02 +81 +99 +A9 +00 +99 +A1 +02 +F6 +99 +C8 +98 +29 +03 +D0 +EE +60 +A5 +7F +0A +AA +A9 +00 +9D +9D +02 +E8 +9D +9D +02 +60 +B5 +A7 +C9 +FF +D0 +25 +8A +48 +20 +8E +D2 +AA +10 +05 +A9 +70 +20 +C8 +C1 +86 +F9 +68 +A8 +8A +09 +80 +99 +A7 +00 +0A +AA +AD +85 +FE +95 +06 +A9 +00 +95 +07 +4C +86 +D5 +29 +0F +85 +F9 +60 +A9 +06 +A6 +7F +D0 +03 +18 +69 +07 +60 +20 +0F +F1 +AA +60 +20 +3E +DE +A9 +24 +85 +6F +A9 +01 +0D +F9 +02 +8D +F9 +02 +20 +11 +F0 +B1 +6D +D0 +2B +C6 +6F +D0 +05 +A9 +72 +4C +C8 +C1 +A5 +80 +CD +85 +FE +F0 +F4 +90 +0E +E6 +80 +A5 +80 +CD +D7 +FE +D0 +DE +AD +85 +FE +85 +80 +C6 +80 +D0 +D5 +AD +85 +FE +85 +80 +D0 +E7 +A5 +69 +C9 +0A +D0 +12 +A6 +6F +E0 +24 +F0 +04 +A9 +00 +F0 +0B +C5 +81 +F0 +02 +B0 +02 +A9 +F7 +18 +65 +81 +85 +81 +A5 +80 +20 +4B +F2 +8D +4E +02 +8D +4D +02 +C5 +81 +B0 +0C +38 +A5 +81 +ED +4E +02 +85 +81 +F0 +02 +C6 +81 +20 +FA +F1 +F0 +03 +4C +90 +EF +85 +81 +20 +FA +F1 +D0 +F6 +4C +F5 +F1 +A9 +01 +0D +F9 +02 +8D +F9 +02 +A5 +86 +48 +A9 +01 +85 +86 +AD +85 +FE +38 +E5 +86 +85 +80 +90 +09 +F0 +07 +20 +11 +F0 +B1 +6D +D0 +1B +AD +85 +FE +18 +65 +86 +85 +80 +E6 +86 +CD +D7 +FE +90 +05 +A9 +72 +4C +C8 +C1 +20 +11 +F0 +B1 +6D +F0 +D2 +68 +85 +86 +A9 +00 +85 +81 +20 +FA +F1 +F0 +00 +4C +90 +EF +A9 +71 +20 +45 +E6 +20 +11 +F0 +98 +48 +20 +20 +F2 +A5 +80 +20 +4B +F2 +8D +4E +02 +68 +85 +6F +A5 +81 +CD +4E +02 +B0 +09 +20 +D5 +EF +D0 +06 +E6 +81 +D0 +F0 +A9 +00 +60 +A5 +6F +48 +A9 +00 +85 +6F +AC +86 +FE +88 +A2 +07 +B1 +6D +3D +E9 +EF +F0 +02 +E6 +6F +CA +10 +F4 +88 +D0 +EF +B1 +6D +C5 +6F +D0 +00 +68 +85 +6F +60 +A9 +71 +20 +45 +E6 +4C +0D +E0 +DD +D6 +FE +CA +B0 +FA +BD +D1 +FE +60 +60 +A9 +6F +8D +02 +1C +29 +F0 +8D +00 +1C +AD +0C +1C +29 +FE +09 +0E +09 +E0 +8D +0C +1C +A9 +41 +8D +0B +1C +A9 +00 +8D +06 +1C +A9 +3A +8D +07 +1C +8D +05 +1C +A9 +7F +8D +0E +1C +A9 +C0 +8D +0D +1C +8D +0E +1C +A9 +FF +85 +3E +85 +51 +A9 +08 +85 +39 +A9 +07 +85 +47 +A9 +05 +85 +62 +A9 +FA +85 +63 +A9 +05 +85 +64 +A9 +02 +85 +5E +A9 +14 +85 +5F +BA +86 +49 +AD +04 +1C +AD +0C +1C +09 +0E +8D +0C +1C +A0 +05 +B9 +00 +00 +10 +2E +C9 +D0 +D0 +04 +98 +4C +70 +F3 +29 +01 +F0 +07 +84 +3F +A9 +0F +4C +69 +F9 +AA +85 +3D +C5 +3E +F0 +0A +20 +7E +F9 +A5 +3D +85 +3E +4C +9C +F9 +A5 +20 +30 +03 +0A +10 +09 +4C +9C +F9 +88 +10 +CA +4C +9C +F9 +A9 +20 +85 +20 +A0 +05 +84 +3F +20 +93 +F3 +30 +1A +C6 +3F +10 +F7 +A4 +41 +20 +95 +F3 +A5 +42 +85 +4A +06 +4A +A9 +60 +85 +20 +B1 +32 +85 +22 +4C +9C +F9 +29 +01 +C5 +3D +D0 +E0 +A5 +22 +F0 +12 +38 +F1 +32 +F0 +0D +49 +FF +85 +42 +E6 +42 +A5 +3F +85 +41 +4C +06 +F3 +A2 +04 +B1 +32 +85 +40 +DD +D6 +FE +CA +B0 +FA +BD +D1 +FE +85 +43 +8A +0A +0A +0A +0A +0A +85 +44 +AD +00 +1C +29 +9F +05 +44 +8D +00 +1C +A6 +3D +A5 +45 +C9 +40 +F0 +15 +C9 +60 +F0 +03 +4C +B1 +F3 +A5 +3F +18 +69 +03 +85 +31 +A9 +00 +85 +30 +6C +30 +00 +A9 +60 +85 +20 +AD +00 +1C +29 +03 +85 +4A +A5 +22 +20 +83 +F8 +A9 +01 +85 +22 +4C +69 +F9 +A4 +3F +B9 +00 +00 +48 +10 +10 +29 +78 +85 +45 +98 +0A +69 +06 +85 +32 +98 +18 +69 +03 +85 +31 +A0 +00 +84 +30 +68 +60 +A2 +5A +86 +4B +A2 +00 +A9 +52 +85 +24 +20 +56 +F5 +50 +FE +B8 +AD +01 +1C +C5 +24 +D0 +3F +50 +FE +B8 +AD +01 +1C +95 +25 +E8 +E0 +07 +D0 +F3 +20 +97 +F4 +A0 +04 +A9 +00 +59 +16 +00 +88 +10 +FA +C9 +00 +D0 +38 +A6 +3E +A5 +18 +95 +22 +A5 +45 +C9 +30 +F0 +1E +A5 +3E +0A +A8 +B9 +12 +00 +C5 +16 +D0 +1E +B9 +13 +00 +C5 +17 +D0 +17 +4C +23 +F4 +C6 +4B +D0 +B0 +A9 +02 +20 +69 +F9 +A5 +16 +85 +12 +A5 +17 +85 +13 +A9 +01 +2C +A9 +0B +2C +A9 +09 +4C +69 +F9 +A9 +7F +85 +4C +A5 +19 +18 +69 +02 +C5 +43 +90 +02 +E5 +43 +85 +4D +A2 +05 +86 +3F +A2 +FF +20 +93 +F3 +10 +44 +85 +44 +29 +01 +C5 +3E +D0 +3C +A0 +00 +B1 +32 +C5 +40 +D0 +34 +A5 +45 +C9 +60 +F0 +0C +A0 +01 +38 +B1 +32 +E5 +4D +10 +03 +18 +65 +43 +C5 +4C +B0 +1E +48 +A5 +45 +D0 +07 +68 +C9 +06 +B0 +14 +90 +09 +68 +C9 +09 +90 +0D +C9 +0C +B0 +09 +85 +4C +A5 +3F +AA +69 +03 +85 +31 +C6 +3F +10 +B3 +8A +10 +03 +4C +9C +F9 +86 +3F +20 +93 +F3 +A5 +45 +4C +7A +FB +A5 +30 +48 +A5 +31 +48 +A9 +24 +85 +30 +A9 +00 +85 +31 +A9 +00 +85 +34 +20 +E6 +F7 +A5 +55 +85 +18 +A5 +54 +85 +19 +A5 +53 +85 +1A +20 +E6 +F7 +A5 +52 +85 +17 +A5 +53 +85 +16 +68 +85 +31 +68 +85 +30 +60 +C9 +00 +F0 +03 +4C +6E +F5 +20 +0A +F5 +50 +FE +B8 +AD +01 +1C +91 +30 +C8 +D0 +F5 +A0 +BA +50 +FE +B8 +AD +01 +1C +99 +00 +01 +C8 +D0 +F4 +20 +E0 +F8 +A5 +38 +C5 +47 +F0 +05 +A9 +04 +4C +69 +F9 +20 +E9 +F5 +C5 +3A +F0 +03 +A9 +05 +2C +A9 +01 +4C +69 +F9 +20 +10 +F5 +4C +56 +F5 +A5 +3D +0A +AA +B5 +12 +85 +16 +B5 +13 +85 +17 +A0 +00 +B1 +32 +85 +18 +C8 +B1 +32 +85 +19 +A9 +00 +45 +16 +45 +17 +45 +18 +45 +19 +85 +1A +20 +34 +F9 +A2 +5A +20 +56 +F5 +A0 +00 +50 +FE +B8 +AD +01 +1C +D9 +24 +00 +D0 +06 +C8 +C0 +08 +D0 +F0 +60 +CA +D0 +E7 +A9 +02 +4C +69 +F9 +A9 +D0 +8D +05 +18 +A9 +03 +2C +05 +18 +10 +F1 +2C +00 +1C +30 +F6 +AD +01 +1C +B8 +A0 +00 +60 +C9 +10 +F0 +03 +4C +91 +F6 +20 +E9 +F5 +85 +3A +AD +00 +1C +29 +10 +D0 +05 +A9 +08 +4C +69 +F9 +20 +8F +F7 +20 +10 +F5 +A2 +09 +50 +FE +B8 +CA +D0 +FA +A9 +FF +8D +03 +1C +AD +0C +1C +29 +1F +09 +C0 +8D +0C +1C +A9 +FF +A2 +05 +8D +01 +1C +B8 +50 +FE +B8 +CA +D0 +FA +A0 +BB +B9 +00 +01 +50 +FE +B8 +8D +01 +1C +C8 +D0 +F4 +B1 +30 +50 +FE +B8 +8D +01 +1C +C8 +D0 +F5 +50 +FE +AD +0C +1C +09 +E0 +8D +0C +1C +A9 +00 +8D +03 +1C +20 +F2 +F5 +A4 +3F +B9 +00 +00 +49 +30 +99 +00 +00 +4C +B1 +F3 +A9 +00 +A8 +51 +30 +C8 +D0 +FB +60 +A9 +00 +85 +2E +85 +30 +85 +4F +A5 +31 +85 +4E +A9 +01 +85 +31 +85 +2F +A9 +BB +85 +34 +85 +36 +20 +E6 +F7 +A5 +52 +85 +38 +A4 +36 +A5 +53 +91 +2E +C8 +A5 +54 +91 +2E +C8 +A5 +55 +91 +2E +C8 +84 +36 +20 +E6 +F7 +A4 +36 +A5 +52 +91 +2E +C8 +A5 +53 +91 +2E +C8 +F0 +0E +A5 +54 +91 +2E +C8 +A5 +55 +91 +2E +C8 +84 +36 +D0 +E1 +A5 +54 +91 +30 +C8 +A5 +55 +91 +30 +C8 +84 +36 +20 +E6 +F7 +A4 +36 +A5 +52 +91 +30 +C8 +A5 +53 +91 +30 +C8 +A5 +54 +91 +30 +C8 +A5 +55 +91 +30 +C8 +84 +36 +C0 +BB +90 +E1 +A9 +45 +85 +2E +A5 +31 +85 +2F +A0 +BA +B1 +30 +91 +2E +88 +D0 +F9 +B1 +30 +91 +2E +A2 +BB +BD +00 +01 +91 +30 +C8 +E8 +D0 +F7 +86 +50 +60 +C9 +20 +F0 +03 +4C +CA +F6 +20 +E9 +F5 +85 +3A +20 +8F +F7 +20 +0A +F5 +A0 +BB +B9 +00 +01 +50 +FE +B8 +4D +01 +1C +D0 +15 +C8 +D0 +F2 +B1 +30 +50 +FE +B8 +4D +01 +1C +D0 +08 +C8 +C0 +FD +D0 +F1 +4C +18 +F4 +A9 +07 +4C +69 +F9 +20 +10 +F5 +4C +18 +F4 +A9 +00 +85 +57 +85 +5A +A4 +34 +A5 +52 +4A +4A +4A +4A +AA +BD +7F +F7 +0A +0A +0A +85 +56 +A5 +52 +29 +0F +AA +BD +7F +F7 +6A +66 +57 +6A +66 +57 +29 +07 +05 +56 +91 +30 +C8 +A5 +53 +4A +4A +4A +4A +AA +BD +7F +F7 +0A +05 +57 +85 +57 +A5 +53 +29 +0F +AA +BD +7F +F7 +2A +2A +2A +2A +85 +58 +2A +29 +01 +05 +57 +91 +30 +C8 +A5 +54 +4A +4A +4A +4A +AA +BD +7F +F7 +18 +6A +05 +58 +91 +30 +C8 +6A +29 +80 +85 +59 +A5 +54 +29 +0F +AA +BD +7F +F7 +0A +0A +29 +7C +05 +59 +85 +59 +A5 +55 +4A +4A +4A +4A +AA +BD +7F +F7 +6A +66 +5A +6A +66 +5A +6A +66 +5A +29 +03 +05 +59 +91 +30 +C8 +D0 +04 +A5 +2F +85 +31 +A5 +55 +29 +0F +AA +BD +7F +F7 +05 +5A +91 +30 +C8 +84 +34 +60 +A9 +01 +85 +1C +4C +B1 +F9 +FF +0A +0B +12 +13 +0E +0F +16 +17 +09 +19 +1A +1B +0D +1D +1E +15 +A9 +00 +85 +30 +85 +2E +85 +36 +A9 +BB +85 +34 +85 +50 +A5 +31 +85 +2F +A9 +01 +85 +31 +A5 +47 +85 +52 +A4 +36 +B1 +2E +85 +53 +C8 +B1 +2E +85 +54 +C8 +B1 +2E +85 +55 +C8 +84 +36 +20 +D0 +F6 +A4 +36 +B1 +2E +85 +52 +C8 +F0 +11 +B1 +2E +85 +53 +C8 +B1 +2E +85 +54 +C8 +B1 +2E +85 +55 +C8 +D0 +E1 +A5 +3A +85 +53 +A9 +00 +85 +54 +85 +55 +4C +D0 +F6 +A4 +34 +B1 +30 +4A +4A +4A +85 +56 +B1 +30 +29 +07 +85 +57 +C8 +D0 +06 +A5 +4E +85 +31 +A4 +4F +B1 +30 +0A +26 +57 +0A +26 +57 +4A +4A +4A +85 +58 +B1 +30 +4A +C8 +B1 +30 +2A +2A +2A +2A +2A +29 +1F +85 +59 +B1 +30 +29 +0F +85 +5A +C8 +B1 +30 +0A +26 +5A +4A +4A +4A +85 +5B +B1 +30 +0A +0A +0A +29 +18 +85 +5C +C8 +D0 +06 +A5 +4E +85 +31 +A4 +4F +B1 +30 +2A +2A +2A +2A +29 +07 +05 +5C +85 +5C +B1 +30 +29 +1F +85 +5D +C8 +84 +34 +A6 +56 +BD +A0 +F8 +A6 +57 +1D +C0 +F8 +85 +52 +A6 +58 +BD +A0 +F8 +A6 +59 +1D +C0 +F8 +85 +53 +A6 +5A +BD +A0 +F8 +A6 +5B +1D +C0 +F8 +85 +54 +A6 +5C +BD +A0 +F8 +A6 +5D +1D +C0 +F8 +85 +55 +60 +D0 +02 +A9 +2C +29 +7E +49 +FE +0A +05 +4A +E9 +04 +85 +4A +60 +D0 +06 +A5 +1C +F0 +02 +A9 +80 +85 +1E +4C +77 +F7 +FF +FF +FF +FF +FF +FF +FF +FF +FF +80 +00 +10 +FF +C0 +40 +50 +FF +FF +20 +30 +FF +F0 +60 +70 +FF +90 +A0 +B0 +FF +D0 +E0 +FF +FF +FF +FF +FF +FF +FF +FF +FF +FF +08 +00 +01 +FF +0C +04 +05 +FF +FF +02 +03 +FF +0F +06 +07 +FF +09 +0A +0B +FF +0D +0E +FF +A9 +00 +85 +34 +85 +2E +85 +36 +A9 +01 +85 +4E +A9 +BA +85 +4F +A5 +31 +85 +2F +20 +E6 +F7 +A5 +52 +85 +38 +A4 +36 +A5 +53 +91 +2E +C8 +A5 +54 +91 +2E +C8 +A5 +55 +91 +2E +C8 +84 +36 +20 +E6 +F7 +A4 +36 +A5 +52 +91 +2E +C8 +F0 +11 +A5 +53 +91 +2E +C8 +A5 +54 +91 +2E +C8 +A5 +55 +91 +2E +C8 +D0 +E1 +A5 +53 +85 +3A +A5 +2F +85 +31 +60 +A5 +31 +85 +2F +A9 +00 +85 +31 +A9 +24 +85 +34 +A5 +39 +85 +52 +A5 +1A +85 +53 +A5 +19 +85 +54 +A5 +18 +85 +55 +20 +D0 +F6 +A5 +17 +85 +52 +A5 +16 +85 +53 +A9 +00 +85 +54 +85 +55 +20 +D0 +F6 +A5 +2F +85 +31 +60 +A4 +3F +99 +00 +00 +A5 +50 +F0 +03 +20 +F2 +F5 +20 +8F +F9 +A6 +49 +9A +4C +BE +F2 +A9 +A0 +85 +20 +AD +00 +1C +09 +04 +8D +00 +1C +A9 +1E +85 +48 +60 +A6 +3E +A5 +20 +09 +10 +85 +20 +A9 +FF +85 +48 +60 +AD +07 +1C +8D +05 +1C +AD +00 +1C +45 +1E +29 +10 +F0 +06 +45 +1E +4C +93 +F8 +EA +AD +FE +02 +F0 +15 +C9 +02 +D0 +07 +A9 +00 +8D +FE +02 +F0 +0A +85 +4A +A9 +02 +8D +FE +02 +4C +2E +FA +A6 +3E +30 +07 +A5 +20 +A8 +C9 +20 +D0 +03 +4C +BE +FA +C6 +48 +D0 +1D +98 +10 +04 +29 +7F +85 +20 +29 +10 +F0 +12 +AD +00 +1C +29 +FB +8D +00 +1C +A9 +FF +85 +3E +A9 +00 +85 +20 +F0 +DC +98 +29 +40 +D0 +03 +4C +BE +FA +6C +62 +00 +A5 +4A +10 +05 +49 +FF +18 +69 +01 +C5 +64 +B0 +0A +A9 +3B +85 +62 +A9 +FA +85 +63 +D0 +12 +E5 +5E +E5 +5E +85 +61 +A5 +5E +85 +60 +A9 +7B +85 +62 +A9 +FA +85 +63 +A5 +4A +10 +31 +E6 +4A +AE +00 +1C +CA +4C +69 +FA +A5 +4A +D0 +EF +A9 +4E +85 +62 +A9 +FA +85 +63 +A9 +02 +85 +60 +4C +BE +FA +C6 +60 +D0 +6C +A5 +20 +29 +BF +85 +20 +A9 +05 +85 +62 +A9 +FA +85 +63 +4C +BE +FA +C6 +4A +AE +00 +1C +E8 +8A +29 +03 +85 +4B +AD +00 +1C +29 +FC +05 +4B +8D +00 +1C +4C +BE +FA +38 +AD +07 +1C +E5 +5F +8D +05 +1C +C6 +60 +D0 +0C +A5 +5E +85 +60 +A9 +97 +85 +62 +A9 +FA +85 +63 +4C +2E +FA +C6 +61 +D0 +F9 +A9 +A5 +85 +62 +A9 +FA +85 +63 +D0 +EF +AD +07 +1C +18 +65 +5F +8D +05 +1C +C6 +60 +D0 +E2 +A9 +4E +85 +62 +A9 +FA +85 +63 +A9 +02 +85 +60 +AD +0C +1C +29 +FD +8D +0C +1C +60 +A5 +51 +10 +2A +A6 +3D +A9 +60 +95 +20 +AD +00 +1C +29 +03 +85 +4A +B5 +22 +20 +83 +F8 +A9 +01 +95 +22 +85 +51 +A9 +0A +8D +20 +06 +A9 +00 +8D +21 +06 +8D +22 +06 +4C +9C +F9 +A5 +51 +A0 +00 +D1 +32 +F0 +05 +91 +32 +4C +9C +F9 +AD +00 +1C +29 +10 +D0 +05 +A9 +08 +4C +DB +FD +C8 +B1 +30 +C9 +F3 +D0 +10 +A2 +04 +88 +B1 +32 +DD +D6 +FE +F0 +06 +CA +B0 +F8 +4C +36 +FC +C9 +C7 +D0 +7B +A9 +F3 +91 +30 +D0 +75 +A5 +20 +30 +15 +F0 +0A +20 +91 +F9 +8A +29 +7F +85 +1E +10 +05 +85 +3E +20 +7E +F9 +A9 +00 +85 +7E +60 +8E +0C +18 +CA +86 +F8 +85 +85 +60 +AD +0C +1C +29 +1F +09 +C0 +8D +0C +1C +A9 +FF +8D +03 +1C +60 +A9 +FF +85 +51 +A9 +00 +95 +FF +4C +07 +D3 +20 +EB +D0 +B0 +03 +4C +0F +E9 +AD +69 +FF +8D +0C +18 +60 +A6 +62 +E0 +04 +B0 +03 +B8 +86 +62 +4C +CA +F4 +A2 +03 +D0 +F7 +4C +6B +03 +4C +13 +D3 +28 +43 +29 +20 +4F +45 +26 +4F +4A +01 +FF +FF +01 +01 +FF +FF +01 +00 +20 +0E +FE +A9 +FF +8D +01 +1C +50 +FE +B8 +E8 +E0 +05 +D0 +F8 +20 +00 +FE +AD +00 +1C +10 +0E +50 +F9 +B8 +E8 +D0 +F5 +C8 +D0 +F2 +A9 +02 +4C +D3 +FD +8C +24 +06 +8E +25 +06 +98 +4A +8D +22 +06 +8A +6A +8D +21 +06 +A9 +BF +2D +0B +18 +8D +0B +18 +A9 +62 +8D +26 +06 +A6 +43 +A0 +00 +98 +18 +6D +26 +06 +90 +01 +C8 +C8 +CA +D0 +F5 +49 +FF +38 +69 +00 +18 +6D +25 +06 +B0 +03 +CE +24 +06 +AA +98 +49 +FF +38 +69 +00 +18 +6D +24 +06 +10 +05 +A9 +04 +4C +D3 +FD +A8 +8A +A2 +00 +38 +E5 +43 +B0 +03 +88 +30 +03 +E8 +D0 +F5 +8E +26 +06 +E0 +04 +B0 +05 +A9 +05 +4C +D3 +FD +18 +65 +43 +8D +27 +06 +A9 +00 +8D +28 +06 +A0 +00 +A6 +3D +A5 +39 +99 +00 +03 +C8 +C8 +AD +28 +06 +99 +00 +03 +C8 +A5 +51 +99 +00 +03 +C8 +B5 +13 +99 +00 +03 +C8 +B5 +12 +99 +00 +03 +C8 +A9 +0F +99 +00 +03 +C8 +99 +00 +03 +C8 +A9 +00 +59 +FA +02 +59 +FB +02 +59 +FC +02 +59 +FD +02 +99 +F9 +02 +EE +28 +06 +AD +28 +06 +C5 +43 +90 +BB +98 +48 +E8 +8A +9D +00 +05 +E8 +D0 +FA +A9 +03 +85 +31 +20 +30 +FE +68 +A8 +88 +20 +E5 +FD +20 +F5 +FD +A9 +05 +85 +31 +20 +E9 +F5 +85 +3A +20 +8F +F7 +A9 +00 +85 +32 +20 +50 +FB +A9 +55 +8D +01 +1C +AE +26 +06 +50 +FE +B8 +CA +D0 +FA +A9 +FF +8D +01 +1C +A2 +05 +50 +FE +B8 +CA +D0 +FA +A2 +0A +A4 +32 +B9 +00 +03 +8D +01 +1C +50 +FE +B8 +C8 +CA +D0 +F3 +A9 +55 +8D +01 +1C +A2 +09 +50 +FE +B8 +CA +D0 +FA +A9 +FF +8D +01 +1C +A2 +05 +50 +FE +B8 +CA +D0 +FA +A2 +BB +BD +00 +01 +8D +01 +1C +50 +FE +B8 +E8 +D0 +F4 +A0 +00 +B1 +30 +8D +01 +1C +50 +FE +B8 +C8 +D0 +F5 +A5 +32 +18 +69 +0A +85 +32 +CE +28 +06 +D0 +93 +50 +FE +B8 +50 +FE +B8 +20 +00 +FE +A9 +04 +8D +23 +06 +A9 +00 +85 +30 +A9 +03 +85 +31 +A5 +43 +8D +28 +06 +20 +56 +F5 +A2 +0A +A0 +00 +50 +FE +B8 +AD +01 +1C +D1 +30 +D0 +0E +C8 +CA +D0 +F2 +18 +A5 +30 +69 +0A +85 +30 +4C +62 +FD +CE +23 +06 +D0 +CF +A9 +06 +4C +D3 +FD +20 +56 +F5 +A0 +BB +50 +FE +B8 +AD +01 +1C +D9 +00 +01 +D0 +E6 +C8 +D0 +F2 +A2 +FC +50 +FE +B8 +AD +01 +1C +D9 +00 +05 +D0 +D6 +C8 +CA +D0 +F1 +CE +28 +06 +D0 +AE +E6 +51 +A5 +51 +C9 +29 +B0 +03 +4C +9C +F9 +A9 +FF +85 +51 +A9 +00 +85 +50 +A9 +01 +4C +69 +F9 +AD +0C +1C +29 +1F +09 +C0 +8D +0C +1C +A9 +FF +8D +03 +1C +8D +01 +1C +A2 +21 +A0 +00 +50 +FE +B8 +88 +D0 +FA +CA +D0 +F7 +60 +AE +21 +06 +AC +22 +06 +50 +FE +B8 +CA +D0 +FA +88 +10 +F7 +60 +CE +20 +06 +F0 +03 +4C +9C +F9 +A0 +FF +84 +51 +C8 +84 +50 +4C +69 +F9 +B9 +00 +03 +99 +45 +03 +88 +D0 +F7 +AD +00 +03 +8D +45 +03 +60 +A0 +44 +B9 +BB +01 +91 +30 +88 +10 +F8 +60 +AD +0C +1C +09 +E0 +8D +0C +1C +A9 +00 +8D +03 +1C +60 +AD +0C +1C +29 +1F +09 +C0 +8D +0C +1C +A9 +FF +8D +03 +1C +A9 +55 +8D +01 +1C +A2 +21 +A0 +00 +50 +FE +B8 +88 +D0 +FA +CA +D0 +F7 +60 +A9 +00 +85 +30 +85 +2E +85 +36 +A9 +BB +85 +34 +A5 +31 +85 +2F +A9 +01 +85 +31 +A4 +36 +B1 +2E +85 +52 +C8 +B1 +2E +85 +53 +C8 +B1 +2E +85 +54 +C8 +B1 +2E +85 +55 +C8 +F0 +08 +84 +36 +20 +D0 +F6 +4C +44 +FE +4C +D0 +F6 +48 +8A +48 +98 +48 +AD +0D +18 +29 +02 +F0 +03 +20 +53 +E8 +AD +0D +1C +0A +10 +03 +20 +B0 +F2 +68 +A8 +68 +AA +68 +40 +12 +04 +04 +90 +56 +49 +54 +4D +42 +55 +50 +26 +43 +52 +53 +4E +84 +07 +BF +F8 +1B +5C +07 +A3 +F0 +88 +23 +0D +ED +E2 +FF +CA +CC +CB +E2 +E7 +C8 +CA +C8 +EE +51 +DD +1C +12 +1C +52 +57 +41 +4D +44 +53 +50 +55 +4C +47 +53 +50 +55 +52 +41 +45 +52 +53 +45 +52 +51 +47 +52 +4C +08 +00 +00 +3F +7F +BF +FF +11 +12 +13 +15 +41 +04 +29 +1F +19 +12 +01 +FF +FF +01 +00 +03 +04 +05 +06 +07 +07 +15 +6C +65 +00 +8D +00 +1C +8D +02 +1C +4C +7D +EA +8A +A2 +05 +CA +D0 +FD +AA +60 +20 +AE +E9 +4C +9C +E9 +AD +02 +02 +C9 +2D +F0 +05 +38 +E9 +2B +D0 +DA +85 +23 +60 +A2 +01 +AD +00 +18 +30 +03 +4C +64 +E8 +29 +04 +F0 +09 +A9 +10 +2D +0D +18 +F0 +ED +A2 +0B +8E +0C +18 +AD +01 +18 +A9 +08 +2C +0C +18 +D0 +05 +85 +98 +4C +CD +E9 +AD +00 +18 +10 +04 +24 +7D +10 +6F +29 +04 +D0 +F3 +20 +9C +E9 +A9 +10 +2C +0D +18 +F0 +FB +20 +A5 +E9 +29 +04 +F0 +06 +AD +01 +18 +85 +85 +60 +A9 +04 +AE +01 +18 +2C +00 +18 +F0 +FB +8A +A2 +01 +4C +47 +FB +8D +10 +41 +AD +0C +18 +29 +08 +D0 +03 +4C +16 +E9 +20 +B7 +E9 +9D +67 +FE +A9 +01 +2C +00 +18 +30 +2A +D0 +F9 +A9 +FF +8D +03 +18 +A6 +82 +B5 +F2 +29 +08 +F0 +03 +20 +AE +E9 +BD +3E +02 +8D +01 +18 +A9 +10 +2C +0D +18 +F0 +FB +A9 +00 +8D +03 +18 +20 +AE +E9 +4C +91 +E9 +A9 +01 +8D +0C +18 +2C +00 +18 +30 +01 +60 +4C +5B +E8 +A9 +0C +8D +2A +02 +20 +EE +C1 +20 +98 +C3 +20 +20 +C3 +20 +CA +C3 +20 +9D +C4 +30 +0E +A0 +00 +B1 +94 +49 +40 +20 +B9 +C8 +20 +8B +C4 +10 +F2 +4C +94 +C1 +C6 +C8 +8F +F9 +5F +CD +97 +CD +00 +05 +03 +05 +06 +05 +09 +05 +0C +05 +0F +05 +01 +FF +A0 +EA +67 +FE diff --git a/src/gowin_prom/c64basic_kernal.mi b/src/gowin_prom/c64kernal_sdplus.mi similarity index 71% rename from src/gowin_prom/c64basic_kernal.mi rename to src/gowin_prom/c64kernal_sdplus.mi index 522619c..1945a6a 100644 --- a/src/gowin_prom/c64basic_kernal.mi +++ b/src/gowin_prom/c64kernal_sdplus.mi @@ -8558,8 +8558,8 @@ A9 85 0A 20 -D4 -E1 +F6 +E4 A5 0A A6 @@ -8667,12 +8667,12 @@ A9 BD FF A2 -01 +08 A0 -00 +01 20 -BA -FF +38 +F4 20 06 E2 @@ -8680,8 +8680,8 @@ E2 57 E2 20 -06 -E2 +EC +E4 20 00 E2 @@ -8693,8 +8693,8 @@ A0 BA FF 20 -06 -E2 +EC +E4 20 00 E2 @@ -8745,12 +8745,12 @@ B7 49 8A A2 -01 +04 A0 -00 +07 20 -BA -FF +38 +F4 20 06 E2 @@ -9110,8 +9110,8 @@ A4 74 A4 20 -53 -E4 +51 +F4 20 BF E3 @@ -9338,7 +9338,7 @@ F7 20 20 20 -2A +20 2A 2A 2A @@ -9362,34 +9362,34 @@ F7 49 43 20 -56 32 +2E +30 20 2A 2A 2A -2A 0D 0D 20 -36 -34 -4B -20 -52 -41 -4D -20 -53 -59 53 -54 +50 45 -4D +45 +44 +44 +4F +53 +2D +50 +4C +55 +53 +2B 20 20 00 -81 +4F 48 20 C9 @@ -9400,41 +9400,41 @@ AA 01 8A 60 -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -AA -85 -A9 +C9 +21 +D0 +15 A9 01 +A8 +91 +2B +20 +33 +A5 +8A +69 +02 85 -AB -60 +2D +A5 +23 +20 +55 +A6 +4C +74 +A4 +4C +7C +A5 +10 +02 +08 +00 +04 +01 +03 AD 86 02 @@ -9453,26 +9453,26 @@ A1 D0 F7 60 -19 -26 -44 -19 -1A -11 -E8 -0D -70 -0C -06 -06 -D1 -02 -37 -01 -AE -00 -69 +20 +79 00 +C9 +2C +F0 +F8 +4C +6B +F2 +A9 +01 +A2 +FF +A0 +E4 +4C +D6 +E1 +2A A2 00 A0 @@ -9518,22 +9518,22 @@ EB 90 02 A9 -0A +04 8D -89 +8B 02 8D -8C +88 02 A9 -0E +05 8D 86 02 A9 -04 +0A 8D -8B +89 02 A9 0C @@ -9704,9 +9704,9 @@ CF 20 13 EA -20 -B4 -E5 +4C +CC +FE C9 83 D0 @@ -9716,17 +9716,17 @@ A2 78 86 C6 -BD -E6 -EC +A9 +0D 9D 76 02 +BD +E6 +EC CA D0 F7 -F0 -CF C9 0D D0 @@ -9886,8 +9886,8 @@ A6 D8 F0 02 -C6 -D8 +46 +D4 AE 86 02 @@ -9897,14 +9897,14 @@ EA 20 B6 E6 -68 -A8 A5 D8 F0 02 -46 -D4 +C6 +D8 +68 +A8 68 AA 68 @@ -10156,7 +10156,7 @@ A0 84 D3 4C -A8 +AE E6 C9 11 @@ -10189,7 +10189,7 @@ F8 7C E8 4C -A8 +AE E6 20 CB @@ -10280,7 +10280,7 @@ F3 E6 D8 4C -A8 +AE E6 A6 D8 @@ -10339,13 +10339,13 @@ E8 84 D3 4C -A8 +AE E6 20 01 E7 4C -A8 +AE E6 C9 13 @@ -10355,7 +10355,7 @@ D0 44 E5 4C -A8 +AE E6 09 80 @@ -10400,7 +10400,7 @@ D3 7C E8 4C -A8 +AE E6 A2 02 @@ -10493,29 +10493,60 @@ C6 D6 C6 C9 -CE -A5 -02 -E8 -20 +A9 +7F +8D +00 +DC +A9 +24 +2D +01 +DC +49 +24 F0 -E9 -E0 -18 -B0 -0C -BD -F1 -EC -85 -AC -B5 -DA -20 -C8 -E9 -30 -EC +14 +49 +20 +F0 +F3 +A9 +24 +2D +01 +DC +49 +20 +D0 +F7 +C8 +D0 +FD +E6 +C6 +D0 +F9 +E8 +20 +F0 +E9 +E0 +18 +B0 +0C +BD +F1 +EC +85 +AC +B5 +DA +20 +C8 +E9 +30 +EC 20 FF E9 @@ -10546,43 +10577,12 @@ F1 F1 A5 D9 -10 -C3 +09 +80 +85 +D9 E6 D6 -EE -A5 -02 -A9 -7F -8D -00 -DC -AD -01 -DC -C9 -FB -08 -A9 -7F -8D -00 -DC -28 -D0 -0B -A0 -00 -EA -CA -D0 -FC -88 -D0 -F9 -84 -C6 A6 D6 68 @@ -11128,8 +11128,8 @@ EB 85 F6 4C -E0 -EA +78 +F1 81 EB C2 @@ -11357,7 +11357,7 @@ FD 18 D0 4C -A8 +AE E6 C9 08 @@ -11383,9 +11383,9 @@ A9 91 02 4C -A8 +AE E6 -FF +84 FF FF FF @@ -11436,13 +11436,13 @@ FF 1C FF 1D +82 FF -FF -1F +8E 1E FF 90 -06 +1F FF 05 FF @@ -11482,8 +11482,8 @@ FF 00 00 00 -0E -06 +00 +00 01 02 03 @@ -11504,7 +11504,7 @@ FF 52 55 4E -0D +3A 00 28 50 @@ -11535,9 +11535,9 @@ C0 2C 09 20 -20 -A4 -F0 +2C +A9 +24 48 24 94 @@ -11557,16 +11557,16 @@ A3 85 95 78 -20 -97 -EE C9 -3F +25 D0 03 -20 -85 +4C +BB EE +20 +10 +FC AD 00 DD @@ -11586,9 +11586,9 @@ EE B3 EE 78 -20 -97 -EE +4C +22 +EF 20 A9 EE @@ -11709,8 +11709,8 @@ FE 85 95 20 -36 -ED +F8 +EE AD 00 DD @@ -11723,17 +11723,17 @@ DD 85 95 20 -36 -ED +F8 +EE 78 20 A0 EE 20 -BE -ED +D8 +EF 20 -85 +88 EE 20 A9 @@ -11794,17 +11794,17 @@ AA 85 EE 4C -97 +9A EE 78 +4C +9D +EF A9 00 85 A5 20 -85 -EE -20 A9 EE 10 @@ -11958,526 +11958,526 @@ F8 60 8A A2 -B8 +5C CA D0 FD AA 60 -A5 -B4 -F0 -47 -30 -3F -46 -B6 -A2 -00 -90 -01 -CA -8A -45 -BD -85 -BD -C6 -B4 -F0 -06 -8A -29 -04 -85 -B5 -60 -A9 20 +15 +F4 +20 +09 +F4 2C -94 -02 -F0 -14 -30 -1C +0C +DD 70 -14 -A5 -BD -D0 -01 -CA -C6 -B4 +2B +A9 +11 +20 +E5 +EF +A9 +FF +8D +07 +DC +A9 +19 +8D +0F +DC AD -93 -02 +0D +DC +AD +0D +DD +29 10 -E3 -C6 -B4 -D0 -DF -E6 -B4 D0 +12 +AD +0D +DC +29 +02 F0 +F2 +20 +1F +F4 A5 -BD -F0 +A5 +8D +01 +DD +4C +2E ED +A9 +C0 +8D +0C +DD D0 -EA -70 -E9 -50 -E6 -E6 -B4 +76 +78 +2C +0C +DD +30 +7F +20 +8E +EE +20 +9A +EE +86 +A5 A2 -FF -D0 -CB +58 AD -94 -02 -4A -90 -07 +0D +DD +A9 +10 2C 01 DD -10 -1D -50 -1E -A9 -00 -85 -BD -85 -B5 -AE -98 -02 -86 -B4 -AC -9D -02 -CC -9E -02 +CA F0 -13 -B1 -F9 -85 -B6 -EE -9D -02 -60 -A9 -40 +0D 2C -A9 -10 0D -97 -02 -8D -97 -02 +DD +F0 +F5 A9 -01 +88 8D -0D -DD -4D -A1 -02 +0F +DC +20 09 -80 -8D -A1 +F4 +A6 +A5 +2C +0F +DC +30 +0A +2C +0C +DD +10 02 -8D -0D +70 +63 +4C +44 +ED +AD +01 DD -60 +85 +A5 +AD +00 +DD +30 +3B +20 +88 +EE +AD +00 +DD +10 +FB +24 +A3 +30 +03 +20 +91 +EE +A5 +95 +86 +95 A2 -09 -A9 20 -2C -93 -02 -F0 +8D 01 +DD +A9 +10 +2C +0D +DD +D0 +08 CA -50 +D0 +F8 +A9 02 -CA -CA -60 +20 +1C +FE A6 -A9 -D0 -33 -C6 -A8 -F0 -36 -30 -0D +95 +24 +A3 +10 +06 +20 +8E +EE +20 +15 +F4 A5 -A7 -45 -AB -85 -AB -46 -A7 -66 -AA -60 -C6 -A8 A5 -A7 -F0 -67 -AD -93 -02 -0A -A9 +8D 01 -65 -A8 -D0 -EF +DD +18 +58 +60 A9 -90 +80 +20 +1C +FE +30 +EE +29 +F0 +C9 +E0 +20 +5D +F5 8D -0D +0C DD -0D -A1 -02 -8D -A1 -02 -85 -A9 +0A +D0 +E9 A9 -02 -4C -3B +13 +20 +E5 EF -A5 -A7 D0 -EA -4C -D3 +DA +20 E4 -AC -9B -02 -C8 -CC -9C -02 -F0 -2A -8C -9B -02 -88 -A5 -AA -AE -98 -02 -E0 -09 F0 -04 -4A -E8 -D0 -F8 -91 -F7 A9 -20 +10 2C -94 -02 +0D +DD F0 -B4 +FB +D0 +C5 +2C +0F +DC 30 -B1 -A5 -A7 -45 -AB -F0 03 -70 -A9 +4C +17 +EE +86 +A5 +A2 +20 2C +00 +DD 50 -A6 +FB +AD +0D +DD +20 +97 +EE A9 -01 +10 +CA +F0 +0D 2C -A9 -04 +0D +DD +F0 +F8 2C +00 +DD +50 +08 A9 -80 +40 2C A9 02 -0D -97 -02 -8D -97 -02 -4C -7E -EF +20 +1C +FE +20 +A0 +EE +A6 A5 -AA -D0 -F1 -F0 -EC -85 -9A AD -94 -02 -4A -90 -29 -A9 -02 -2C 01 DD -10 -1D -D0 -20 -AD -A1 -02 -29 -02 -D0 -F9 +85 +A4 +18 +58 +60 2C -01 +0F +DC +10 +05 +A9 +00 +8D +03 DD -70 -FB +4C +BE +ED +48 AD 01 DD -09 -02 +85 +A5 +68 8D 01 DD -2C -01 -DD -70 -07 -30 -F9 -A9 -40 -8D -97 -02 -18 -60 -20 -28 -F0 -AC -9E -02 -C8 -CC -9D -02 -F0 -F4 -8C -9E -02 -88 -A5 -9E -91 -F9 AD -A1 -02 -4A -B0 -1E -A9 -10 -8D -0E +0D DD AD -99 -02 -8D -04 +00 DD -AD -9A -02 +29 +FB 8D -05 +00 DD -A9 -81 -20 -3B -EF -20 -06 -EF -A9 -11 +09 +04 8D -0E +00 DD 60 -85 -99 -AD -94 +A2 +00 +CA +E8 +BD +00 02 -4A -90 -28 -29 -08 +C9 +20 F0 -24 -A9 -02 -2C -01 -DD -10 -AD +F8 +C9 +40 F0 -22 -AD -A1 -02 -4A -B0 -FA -AD -01 -DD -29 -FD -8D -01 -DD -AD -01 -DD -29 -04 +07 +C9 +3E F0 -F9 +03 +4C +B7 +E4 +20 +1C +F0 +4C +1E +FC A9 +08 +C5 +BA 90 -18 -4C -3B -EF -AD -A1 -02 +04 29 -12 -F0 -F3 -18 -60 -AD -97 -02 -AC -9C -02 -CC -9B +0F +85 +BA +E8 +BD +00 02 F0 -0B -29 -F7 -8D -97 +21 +C9 +20 +F0 +F6 +C9 +38 +90 +04 +C9 +3A +90 +EA +C9 +24 +F0 +19 +C9 +2A +F0 +15 +C9 +22 +D0 +01 +E8 +4C +75 +FE +F5 +FA +20 +70 +F2 +A9 +6F +20 +9F +FE +4C +85 +FE +A9 +F0 +20 +9F +FE +A9 +24 +20 +DD +ED +E8 +BD +00 02 -B1 +D0 F7 -EE -9C -02 -60 +20 +FE +ED +A5 +BA +20 09 -08 -8D -97 -02 +ED A9 -00 60 -48 -AD -A1 -02 -F0 -11 -AD -A1 -02 -29 -03 +20 +C7 +ED +A2 +05 +20 +13 +EE +A4 +90 D0 -F9 -A9 -10 -8D +37 +CA +D0 +F6 +AA +20 +13 +EE +20 +36 +FC +A2 +18 +20 +13 +EE +A4 +90 +D0 +BC +C9 0D -DD -A9 -00 +F0 +04 +C9 8D -A1 +D0 02 -68 +A9 +1F +20 +16 +E7 +E6 +D8 +CA +10 +E7 +20 +13 +EE +CD 60 +F4 +D0 +E2 +20 +70 +F2 +A2 +03 +20 +ED +F6 +D0 +C2 +20 +EF +ED +A9 +E0 +20 +9F +FE +4C +FE +ED 0D 49 2F @@ -12505,52 +12505,52 @@ A0 4F 52 A0 -0D -50 -52 -45 -53 -53 -20 -50 -4C -41 -59 20 +46 +52 4F -4E +4D 20 -54 -41 -50 -C5 -50 -52 -45 -53 -53 +A4 20 -52 -45 -43 +54 4F -52 -44 -20 -26 20 -50 -4C -41 -59 -20 -4F -4E -20 -54 -41 -50 -C5 +A4 +AD +0C +DD +4A +A5 +95 +86 +95 +90 +0B +A2 +0A +CA +DD +6F +F5 +90 +FA +FD +79 +F5 +4C +E5 +EF +01 +0A +64 +E8 +10 +00 +00 +00 +03 +27 0D 4C 4F @@ -12610,7 +12610,7 @@ F3 A5 99 D0 -08 +24 A5 C6 F0 @@ -12619,17 +12619,17 @@ F0 4C B4 E5 -C9 -02 -D0 -18 -84 -97 +28 +43 +29 20 -86 -F0 -A4 -97 +4F +45 +2E +26 +4F +4A +2E 18 60 A5 @@ -12662,62 +12662,62 @@ C8 E6 B0 38 -C9 +A9 +0D +60 +AD +8E 02 +30 +2D +C9 +04 F0 -3F -86 -97 -20 -99 -F1 -B0 -16 -48 -20 -99 -F1 -B0 -0D +03 +4C +E0 +EA +A5 +CB +C9 +30 D0 -05 +F7 A9 -40 -20 -1C -FE -C6 -A6 -A6 -97 -68 -60 -AA -68 -8A -A6 -97 -60 -20 -0D -F8 -D0 -0B 20 -41 -F8 -B0 +2C 11 +D0 +D0 +F0 A9 +80 +8D +8E +02 +AD 00 -85 -A6 -F0 -F0 -B1 -B2 +DD +4A +AD 18 -60 +D0 +29 +F0 +6A +AA +AD +00 +DD +4A +4A +8A +6A +90 +0E +4C +42 +EB A5 90 F0 @@ -12729,24 +12729,24 @@ A9 4C 13 EE -20 -4E -F1 -B0 -F7 -C9 +49 +C0 +85 +B1 +A9 00 -D0 -F2 -AD -97 -02 -29 -60 -D0 -E9 -F0 -EE +85 +B0 +20 +0F +ED +A9 +67 +20 +B9 +ED +90 +14 48 A5 9A @@ -12764,57 +12764,57 @@ E7 4C DD ED -4A -68 -85 -9E -8A -48 -98 -48 -90 -23 -20 -0D -F8 -D0 -0E -20 -64 -F8 -B0 -0E -A9 -02 +4C +07 +F7 +A2 +19 A0 00 -91 -B2 -C8 -84 -A6 -A5 -9E -91 -B2 -18 -68 -A8 -68 -AA -A5 -9E +B1 +B0 +29 +7F +C9 +40 90 -02 -A9 -00 +08 +C9 60 +90 +02 +49 +40 +49 +80 +C9 20 -17 -F0 +B0 +02 +49 +40 +20 +DD +ED +C8 +C0 +28 +D0 +E2 +A9 +0D +20 +DD +ED +98 +18 +65 +B0 +85 +B0 4C -FC -F1 +26 +F2 20 0F F3 @@ -12836,22 +12836,22 @@ F0 12 B0 14 -C9 +4C +07 +F7 +90 02 +E6 +B1 +CA D0 -03 -4C -4D -F0 -A6 -B9 -E0 -60 -F0 -03 +B3 +20 +FE +ED 4C -0A -F7 +DB +F2 85 99 18 @@ -12905,19 +12905,19 @@ F0 0F B0 11 -C9 -02 -D0 -03 4C -E1 -EF -A6 -B9 -E0 -60 -F0 -EA +07 +F7 +68 +68 +4C +F8 +A8 +A9 +0D +4C +16 +E7 85 9A 18 @@ -12960,85 +12960,85 @@ F3 48 A5 BA -F0 -50 C9 03 -F0 -4C B0 -47 +4B +90 +4C C9 -02 +82 +F0 +1F +C9 +84 +F0 +0E +A4 +C5 +C0 +3F D0 -1D -68 -20 -F2 -F2 -20 -83 -F4 -20 -27 -FE -A5 -F8 +05 +AC +8E +02 F0 -01 -C8 -A5 -FA +19 +4C +EA +E5 +A9 +20 +A4 +D3 +91 +D1 +C4 +D5 F0 -01 +0C C8 +D0 +F7 +A0 +00 +84 +D3 +A0 +18 +20 +6A +E5 A9 00 85 -F8 +D4 85 -FA +D8 +58 4C -7D -F4 -A5 -B9 -29 -0F -F0 -23 +CD +E5 20 -D0 -F7 +0F +ED A9 -00 -38 +E7 20 -DD -F1 +B9 +ED 20 -64 -F8 -90 -04 -68 +FE +ED A9 00 -60 -A5 -B9 -C9 -62 -D0 -0B -A9 -05 -20 -6A -F7 +8D +8E +02 4C -F1 -F2 +42 +EB 20 42 F6 @@ -13136,7 +13136,7 @@ B8 D0 03 4C -0A +01 F7 20 0F @@ -13189,85 +13189,85 @@ D5 F3 90 4F -C9 -02 -D0 -03 -4C -09 -F4 -20 -D0 -F7 -B0 -03 4C 13 F7 -A5 -B9 -29 -0F -D0 -1F -20 -17 -F8 -B0 -36 -20 -AF -F5 -A5 -B7 -F0 -0A +A9 +00 +85 +0D 20 -EA -F7 +73 +00 +C9 +24 90 -18 -F0 -28 -4C 04 -F7 -20 -2C -F7 -F0 -20 +C9 +27 90 -0C -B0 -F4 +06 20 -38 -F8 -B0 -17 +79 +00 +4C +8D +AE +85 +9E A9 -04 +00 +A2 +0A +95 +5D +CA +10 +FB 20 -6A -F7 -A9 -BF +73 +00 A4 -B9 -C0 -60 +9E +90 +06 +C9 +41 +90 +21 +E9 +08 +E9 +2F +D9 +AF +E4 +B0 +18 +48 +A5 +61 F0 -07 -A0 -00 -A9 -02 -91 -B2 -98 +0A +79 +B3 +E4 +90 +03 +4C +7E +B9 85 -A6 +61 +68 +20 +7E +BD +4C +A7 +F3 +F5 +FA 18 60 A5 @@ -13322,181 +13322,181 @@ F6 4C 54 F6 -20 -83 -F4 -8C -97 -02 -C4 -B7 -F0 -0A -B1 -BB -99 -93 -02 -C8 -C0 -04 -D0 -F2 -20 -4A -EF -8E -98 -02 -AD -93 -02 -29 -0F -F0 -1C -0A -AA -AD -A6 -02 -D0 -09 -BC -C1 -FE -BD -C0 -FE -4C -40 -F4 -BC -EB -E4 -BD -EA -E4 -8C -96 -02 -8D -95 -02 -AD -95 -02 -0A -20 -2E -FF -AD -94 -02 -4A -90 -09 AD -01 -DD -0A -B0 03 -20 -0D -F0 -AD -9B -02 -8D -9C -02 -AD -9E -02 +DD 8D -9D +A1 02 -20 -27 -FE -A5 -F8 -D0 -05 -88 -84 -F8 -86 -F7 -A5 -FA -D0 -05 -88 -84 -FA -86 -F9 -38 -A9 -F0 -4C -2D -FE A9 -7F +FF 8D -0D +03 DD +60 +2C +0F +DC +10 +18 A9 -06 +08 8D -03 -DD +0F +DC +AD +A1 +02 8D -01 +03 DD A9 -04 -0D 00 -DD 8D -00 -DD -A0 -00 -8C A1 02 -60 -86 -C3 -84 -C4 -6C +AD +0C +DD +29 +7F +8D +0C +DD +2C +0C +DD 30 -03 -85 -93 -A9 -00 -85 -90 -A5 +E8 +60 +E4 BA -D0 -03 -4C -13 -F7 +B0 +02 +A6 +BA +4C +BA +FF +A5 +90 +D0 +03 +4C +D1 +FC +68 +68 +20 +42 +F6 +A9 +07 +38 +60 +A2 +0B +BD +5C +F4 +9D +00 +03 +4C +68 +F4 +8B +E3 +83 +A4 +00 +F0 +6C +F4 +E4 +A7 +87 +F3 +CA +10 +E8 +60 +10 +0F +C9 +CC +B0 +11 +24 +0F +30 +03 +4C +24 +A7 +C9 +8D +F0 +04 +C9 +0D +D0 +02 +A9 +1F +E6 +D8 +4C +F3 +A6 +A0 +79 +98 +C8 +59 +1B +F4 +20 +16 +E7 +D0 +F7 +60 +51 +6B +6A +66 +0A +63 +69 +05 +4A +86 +C3 +84 +C4 +6C +30 +03 +85 +93 +A9 +00 +85 +90 +A5 +BA +D0 +03 +4C +13 +F7 C9 03 F0 F9 90 -7B +F7 A4 B7 D0 @@ -13553,9 +13553,9 @@ A5 C4 85 AF -20 -D2 -F5 +4C +2C +F7 A9 FD 25 @@ -13579,7 +13579,7 @@ A5 4A 4A B0 -E8 +1D 8A A4 93 @@ -13592,7 +13592,7 @@ AE F0 08 A9 -10 +50 20 1C FE @@ -13620,123 +13620,123 @@ F6 4C 04 F7 -4A -B0 -03 -4C -13 -F7 -20 -D0 -F7 -B0 -03 -4C -13 -F7 20 -17 -F8 -B0 -68 +EF +ED +24 +9D +10 +8B +A0 +1B 20 +2F +F1 +A5 AF +20 +46 F5 A5 -B7 -F0 -09 +AE +48 +4A +4A +4A +4A 20 -EA -F7 +51 +F5 +68 +29 +0F +18 +69 +30 +C9 +3A 90 -0B -F0 -5A -B0 -DA -20 -2C -F7 +02 +69 +06 +4C +D2 +FF F0 -53 -B0 -D3 +0D A5 -90 +95 29 -10 -38 +0F +C9 +07 +F0 +01 +18 +A9 +60 +2A +60 +A9 +80 +60 +A2 +13 +A9 +13 +5D +7E +E4 +CA D0 +FA +C9 4A -E0 -01 F0 -11 -E0 -03 -D0 -DD -A0 -01 -B1 -B2 -85 -C3 -C8 -B1 -B2 +02 85 -C4 -B0 -04 -A5 -B9 -D0 -EF -A0 -03 -B1 -B2 -A0 -01 -F1 -B2 -AA -A0 -04 -B1 -B2 +73 +4C +22 +E4 +81 +48 +2C +0F +DC +30 +09 +24 +9D +10 +05 A0 -02 -F1 -B2 -A8 -18 -8A -65 -C3 -85 -AE -98 -65 -C4 -85 -AF -A5 -C3 -85 -C1 -A5 -C4 -85 -C2 +22 20 -D2 +3C F5 20 -4A -F8 +1A +F4 +68 +C9 +7F +D0 +08 +A8 +C8 +D0 +FD +58 +4C +36 +F6 +58 +A9 +FF +86 +90 +24 24 18 A6 @@ -13818,7 +13818,7 @@ C9 F0 F9 90 -5F +62 A9 61 85 @@ -13862,8 +13862,8 @@ AD DD ED 20 -D1 -FC +41 +F4 B0 16 B1 @@ -13916,7 +13916,7 @@ ED 60 4A B0 -03 +9E 4C 13 F7 @@ -14125,1128 +14125,1128 @@ FF 68 38 60 -A5 -93 -48 20 -41 -F8 -68 -85 -93 -B0 -32 -A0 -00 -B1 -B2 -C9 -05 +23 +FC +E0 +02 F0 -2A -C9 -01 +04 +A6 +93 F0 -08 -C9 03 -F0 -04 +4C +F3 +F4 +A1 +BB C9 -04 -D0 -E1 -AA 24 -9D +F0 +F7 +AD +0F +DC 10 -17 -A0 -63 +F2 +86 +C3 20 -2F -F1 +33 +F5 +A2 +F8 +86 +C4 +A9 +57 +20 +E5 +F7 A0 -05 +00 +A5 +C3 +20 +DD +ED +A5 +C4 +69 +0B +20 +DD +ED +A9 +1E +20 +DD +ED B1 -B2 +C3 20 -D2 -FF +DD +ED C8 C0 -15 -D0 +1E +90 F6 -A5 -A1 20 -E0 -E4 -EA +FE +ED 18 -88 -60 +A5 +C3 +69 +1E 85 -9E -20 -D0 -F7 +C3 90 -5E -A5 -C2 -48 -A5 -C1 -48 -A5 -AF -48 +02 +E6 +C4 A5 -AE -48 -A0 -BF +C4 +C9 +FA +D0 +CA A9 +45 +20 +E5 +F7 +A9 +03 +20 +DD +ED +20 +DD +ED 20 -91 -B2 -88 -D0 FB -A5 -9E -91 -B2 -C8 -A5 -C1 -91 -B2 -C8 -A5 -C2 -91 -B2 -C8 -A5 -AE -91 -B2 -C8 -A5 -AF -91 -B2 -C8 -84 -9F -A0 -00 -84 -9E -A4 -9E -C4 -B7 -F0 -0C -B1 -BB -A4 -9F -91 -B2 -E6 -9E -E6 -9F -D0 -EE +FB +78 20 -D7 +DA F7 -A9 -69 -85 -AB +AA 20 -6B -F8 -A8 -68 -85 -AE -68 -85 -AF -68 -85 -C1 -68 -85 -C2 -98 -60 -A6 -B2 -A4 -B3 -C0 -02 -60 +DA +F7 20 -D0 +DA F7 +CA +CA 8A -85 -C1 -18 -69 -C0 -85 -AE -98 -85 -C2 -69 -00 -85 +4C AF -60 +F7 +AD +01 +DC +C9 +7F +F0 +29 20 -2C +DA F7 -B0 -1D -A0 -05 -84 -9F +AA +F0 +1A +CA A0 00 -84 -9E -C4 -B7 -F0 +A9 10 -B1 -BB -A4 -9F -D1 -B2 -D0 -E7 +2C +0D +DD +F0 +FB +AD +01 +DD +91 +AE E6 -9E +AE +D0 +02 E6 -9F -A4 -9E +AF +CA D0 -EC -18 -60 +EB +F0 +D9 +A2 +40 20 -D0 +DA F7 -E6 -A6 -A4 -A6 -C0 -C0 -60 -20 -2E -F8 +C9 +01 F0 -1A -A0 -1B -20 -2F -F1 -20 -D0 -F8 -20 -2E -F8 -D0 -F8 -A0 -6A +02 +A2 +42 4C -2F -F1 +83 +F5 A9 10 -24 -01 -D0 -02 -24 +2C +0D +DD +F0 +FB +AD 01 -18 +DD 60 +48 +A5 +BA 20 -2E -F8 -F0 -F9 -A0 -2E -D0 -DD +0C +ED A9 -00 -85 -90 -85 -93 +6F 20 -D7 -F7 +B9 +ED +A9 +4D 20 -17 -F8 -B0 -1F -78 +DD +ED +A9 +2D +20 +DD +ED +68 +4C +DD +ED +FA +F5 +4C +8A +FB +A9 +FF +8D +03 +18 +A9 +0B +8D +0C +18 A9 00 85 -AA -85 -B4 +0F +A5 +18 85 -B0 +06 +A9 +E0 85 -9E -85 -9F -85 -9C +00 +A5 +00 +30 +FC +C9 +01 +F0 +10 +C9 +10 +F0 +E8 +A6 +0F +E6 +0F +BD +99 +FB +8D +FE +02 +D0 +E0 A9 -90 -A2 +00 +20 0E -D0 -11 +04 +A5 +00 20 -D7 -F7 +0E +04 +EE +03 +18 +A6 +18 +86 +80 +A6 +19 +86 +81 A9 -14 +12 85 -AB -20 -38 -F8 -B0 -6C -78 +0E A9 -82 -A2 -08 -A0 -7F -8C -0D -DC +00 +85 +0F +A9 +80 +85 +04 +A5 +04 +30 +FC +C9 +01 +F0 +02 +E6 +1C +20 8D +FB +A5 +00 +C9 +01 +F0 +7B +18 +69 +1E +4C +45 +E6 +20 +65 +04 +A4 0D -DC -AD +84 0E -DC -09 -19 -8D -0F -DC -29 -91 -8D A2 -02 +07 +A9 +06 +A8 20 -A4 -F0 -AD -11 -D0 -29 -EF -8D -11 -D0 -AD -14 -03 -8D -9F -02 -AD -15 +A3 03 -8D -A0 -02 -20 -BD -FC A9 -02 -85 -BE +07 20 -97 -FB -A5 -01 -29 -1F -85 -01 -85 -C0 +24 +04 +20 +65 +04 A2 -FF -A0 -FF -88 -D0 -FD -CA -D0 -F8 -58 -AD +05 +8A A0 -02 -CD -15 +07 +20 +A3 03 -18 -F0 -15 +A9 +05 20 +24 +04 +C5 +0E D0 -F8 +05 +A9 +06 20 -BC -F6 -4C -BE -F8 +24 +04 +C5 +0D +D0 +CF +A9 +07 20 -E1 -FF -18 +24 +04 D0 -0B +C8 +48 +98 +48 +8A 20 -93 -FC -38 -68 +E1 +03 68 -A9 -00 -8D -A0 -02 -60 -86 -B1 -A5 -B0 -0A -0A -18 -65 -B0 -18 -65 -B1 85 -B1 -A9 -00 -24 -B0 -30 +31 +AD +8D 01 -2A -06 -B1 -2A -06 -B1 -2A -AA +85 +25 AD -06 -DC -C9 -16 -90 -F9 -65 -B1 -8D -04 -DC -8A -6D +8E +01 +85 +26 +AD +8F +01 +85 +27 +20 +36 +F5 +20 +E6 +03 +68 +85 +31 +20 +E0 +F8 +20 +DA +03 +A0 +46 +84 +4F +E6 +34 +E6 +36 +A9 07 -DC -8D -05 -DC +85 +31 +20 +F2 +F8 +A5 +3A +A6 +31 +95 +03 +60 +85 +31 +20 +27 +F5 +20 +56 +F5 +50 +FE +B8 AD -A2 +01 +1C +91 +30 +C8 +D0 +F5 +A9 +BA +AA +A8 +A5 +31 +C9 +07 +D0 02 +A0 +46 +50 +FE +B8 +AD +01 +1C +99 +00 +01 +C8 +E8 +D0 +F3 +60 +2C +00 +18 8D -0E -DC -8D -A4 -02 +01 +18 +A0 +E0 AD 0D -DC +18 29 10 -F0 -09 -A9 -F9 -48 -A9 -2A -48 +D0 +06 +C8 +D0 +F6 4C -43 -FF -58 +A0 +EA 60 -AE -07 -DC +85 +31 +AA +B5 +03 A0 -FF -98 -ED -06 -DC -EC -07 -DC +00 +51 +30 +C8 D0 -F2 -86 -B1 +FB AA -8C -06 -DC -8C -07 -DC -A9 -19 -8D -0F -DC -AD -0D -DC -8D -A3 -02 -98 -E5 -B1 -86 -B1 -4A -66 -B1 -4A -66 -B1 -A5 -B0 -18 -69 -3C -C5 -B1 -B0 -4A -A6 -9C F0 -03 +05 +A9 +05 4C -60 -FA -A6 -A3 -30 -1B -A2 -00 69 -30 -65 -B0 -C5 +F9 +A8 B1 -B0 -1C -E8 -69 -26 -65 -B0 -C5 +30 +D0 +0B +C8 B1 -B0 -17 +30 +20 +8F +04 +A9 +01 +4C 69 -2C -65 -B0 -C5 +F9 +AA +C8 B1 -90 -03 -4C -10 -FA -A5 -B4 -F0 -1D +30 85 -A8 -D0 19 -E6 A9 -B0 -02 -C6 +FF +20 +8F +04 +E4 +18 +F0 +07 +86 +18 +A9 +10 +4C +69 +F9 A9 -38 -E9 -13 -E5 -B1 -65 -92 +00 85 -92 +0F A5 +19 +60 A4 -49 +19 +C8 +C4 +43 +D0 +02 +A0 +00 +84 +54 +84 +0D +A9 01 85 -A4 -F0 -2B -86 -D7 +31 +A9 +00 +45 +16 +45 +17 +45 +18 +45 +0D +85 +53 +A9 +8C +85 +34 A5 -B4 -F0 -22 -AD -A3 -02 -29 +39 +85 +52 +A5 +18 +4C +5F +F9 +85 +0C +20 +0E +04 +A0 +01 +C8 +B1 +30 +2C +00 +18 +8D 01 +18 +A9 +10 +2C +0D +18 +F0 +FB +C4 +0C D0 -05 +EC +60 +FE +02 AD -A4 +FE 02 D0 -16 +FB +E8 +D0 +ED +AD +7F +FB +20 +44 +E5 +CA +86 +FE A9 -00 +08 85 -A4 -8D -A4 -02 -A5 -A3 -10 -30 -30 -BF -A2 -A6 +FF +85 +BA 20 -E2 -F8 -A5 -9B -D0 -B9 -4C -BC -FE +6C +FA +84 +BB +84 +9D +84 +C6 A5 -92 +C5 +C9 +40 F0 -07 -30 -03 -C6 -B0 -2C -E6 -B0 -A9 -00 -85 -92 -E4 -D7 +F1 +C9 +28 D0 -0F -8A +02 +E6 +FF +C9 +2B D0 +02 +C6 +FF A0 -A5 -A9 -30 -BD -C9 +02 +84 +BC +D9 +97 +FB +F0 +31 +D9 +9A +FB +F0 +3F +88 10 -90 +F3 +C8 +D9 +E3 +FB +F0 +06 +C0 +08 +D0 +F6 +F0 +C8 +98 +0A +48 +20 +C5 +FB +68 +A8 B9 +EB +FB 85 -96 -B0 -B5 -8A -45 -9B +FC +B9 +EC +FB 85 -9B -A5 -B4 -F0 -D2 +FD +E6 C6 -A3 -30 -C5 -46 -D7 -66 -BF -A2 -DA 20 -E2 -F8 +E4 +FF +20 +D2 +FF +6C +FC +00 +B9 +E0 +FB +20 +22 +FA 4C -BC +38 +FA +18 +65 FE +85 +FE +90 +02 +E6 +FF +60 A5 -96 -F0 -04 -A5 -B4 -F0 -07 -A5 -A3 -30 -03 -4C -97 -F9 -46 -B1 -A9 -93 +FE 38 -E5 -B1 -65 +F9 +E0 +FB +85 +FE B0 -0A -AA +02 +C6 +FF 20 -E2 -F8 -E6 -9C +C5 +FB +30 +87 A5 -B4 -D0 -11 +FF +20 +44 +FA A5 -96 -F0 -26 -85 -A8 -A9 +FE +48 +A0 00 +4A +4A +4A +4A +20 +51 +FA +68 +29 +0F +09 +30 +C9 +3A +90 +02 +E9 +39 +45 +C4 +91 +FC +A9 +01 +2C +A9 +02 +18 +65 +FC 85 -96 +FC +90 +02 +E6 +FD +60 A9 -81 -8D -0D -DC +29 85 -B4 -A5 -96 +FC +AD +88 +02 85 -B5 -F0 -09 +FD +A2 +10 A9 00 85 -B4 -A9 -01 -8D -0D -DC -A5 -BF -85 -BD -A5 -A8 -05 -A9 +C4 85 -B6 -4C -BC -FE +C3 20 -97 -FB -85 -9C -A2 -DA +3D +FA 20 -E2 -F8 +60 +FA +A4 +C3 +B1 +FE +20 +44 +FA A5 -BE -F0 -02 +C4 +49 +80 85 -A7 +C4 +E6 +C3 A9 -0F -24 -AA 10 -17 -A5 -B5 -D0 -0C -A6 -BE -CA +C5 +C3 D0 -0B -A9 -08 +EB 20 -1C -FE +22 +FA +20 +60 +FA +CA D0 -04 +D6 +C6 +FF A9 -00 +F8 85 -AA -4C -BC +FC +B1 FE -70 -31 -D0 -18 -A5 -B5 -D0 -F5 -A5 -B6 +91 +FC +88 D0 -F1 -A5 -A7 -4A -A5 -BD +F9 +60 +A0 +FF +20 +CF +FF +C9 +20 +F0 +F9 +C8 +C9 +0D +F0 +F1 +38 +E9 30 -03 +C9 +0A 90 -18 -18 -B0 -15 -29 -0F -85 -AA -C6 -AA +02 +E9 +07 +99 +00 +02 D0 -DD -A9 -40 -85 -AA +E6 20 -8E +9D FB -A9 +B9 00 -85 -AB -F0 -D0 -A9 -80 -85 -AA -D0 -CA -A5 -B5 -F0 -0A -A9 -04 +02 +30 +15 20 -1C +F1 +FA +84 +FD +98 +38 +E9 +06 +4A +A8 +8A +91 FE -A9 +A4 +FD +B9 00 -4C -4A -FB -20 -D1 -FC -90 -03 -4C -48 -FB -A6 -A7 -CA -F0 -2D -A5 -93 -F0 -0C +02 +10 +EB +30 +65 A0 00 -A5 -BD -D1 -AC -F0 -04 -A9 -01 +20 +F1 +FA +86 +FD +B9 +00 +02 +C8 +0A +0A +0A +0A +19 +00 +02 85 -B6 -A5 -B6 -F0 -4B -A2 -3D -E4 -9E -90 -3E -A6 -9E -A5 -AD -9D -01 -01 +FC +C8 +AA A5 -AC +FD +60 +20 9D -00 -01 -E8 -E8 -86 -9E -4C -3A FB -A6 -9F -E4 -9E -F0 -35 -A5 -AC -DD +20 +44 +E5 +6C +FC 00 -01 -D0 -2E -A5 -AD -DD -01 -01 -D0 -27 -E6 -9F -E6 -9F -A5 -93 -F0 -0B -A5 -BD A0 00 -D1 -AC +20 +CF +FF +C9 +22 F0 -17 -C8 -84 -B6 -A5 -B6 +ED +C9 +0D F0 -07 +E9 +99 +00 +02 +C8 +D0 +EF +20 +75 +FB +20 +C5 +FB A9 -10 +00 20 -1C -FE -D0 -09 -A5 -93 -D0 -05 -A8 A5 -BD -91 -AC +F4 +4C +6C +FB 20 -DB -FC -D0 -43 +0C +FB A9 -80 -85 -AA -78 -A2 -01 -8E -0D -DC -AE -0D -DC -A6 -BE -CA -30 +00 +99 +00 02 -86 -BE -C6 -A7 +98 +F0 +34 +20 +44 +E5 +A2 +FF +20 +1C F0 -08 A5 -9E -D0 -27 -85 -BE +D6 +C9 +02 +90 +09 +A5 +C5 +C9 +40 F0 -23 +FA 20 -93 -FC +44 +E5 +4C +C4 +F9 20 -8E +75 FB -A0 -00 -84 -AB +88 +20 B1 -AC -45 -AB +FA +A4 +B7 +20 +EC +FA +86 +C1 85 -AB +C2 20 -DB -FC +EC +FA +86 +AE +85 +AF 20 -D1 -FC -90 -F2 -A5 -AB -45 -BD +ED +F5 +20 +C5 +FB +20 +4D F0 -05 +4C +C4 +F9 A9 +22 20 +D2 +FF 20 -1C -FE +0C +FB +A9 +08 +85 +B9 +98 +D0 +05 +68 +68 4C -BC -FE +C4 +F9 +84 +B7 +60 +EA +EA A5 C2 85 @@ -15256,295 +15256,295 @@ C1 85 AC 60 +07 +03 +05 +02 +06 +04 +20 +AF +FA A9 -08 +FF +99 +00 +02 +98 +F0 +DC +20 +EA +FA +86 +FE 85 -A3 +FF +60 +20 +9D +FB +20 +36 +FC +4C +C4 +F9 A9 00 85 -A4 -85 -A8 -85 -9B +FE 85 +FF +20 +44 +E5 +4C +C4 +F9 +20 +66 +E5 A9 -60 -A5 -BD -4A -A9 -60 -90 -02 -A9 -B0 -A2 00 -8D -06 -DC -8E -07 -DC +85 +FC AD -0D -DC -A9 -19 -8D -0F -DC -A5 -01 -49 -08 +88 +02 85 -01 -29 -08 +FD +A0 +27 +A9 +20 +91 +FC +88 +10 +FB 60 -38 -66 -B6 -30 -3C -A5 -A8 -D0 -12 +68 +68 +4C +44 +E5 +01 +10 +28 +24 +17 +1A +2A +2E +0D +1D +33 +CB +FA +DB +FB +03 +FB +1F +FB +2D +FB +52 +FB +B0 +FB +B9 +FB +20 +FE +ED +20 +09 +F4 +EE +03 +DD +A5 +AF +C9 +08 +B0 +05 A9 -10 -A2 -01 +88 +8D +0F +DC +60 20 -B1 -FB +15 +F4 +8A +A2 +80 +CA D0 -2F -E6 -A8 -A5 -B6 -10 -29 +FD +AA +60 +20 +AB +F9 +68 +68 4C -57 -FC -A5 -A9 +80 +A4 +E0 +03 D0 -09 -20 +03 +8E +98 +02 AD -FB +98 +02 +C9 +03 D0 -1D -E6 +02 +A2 +02 +4C +D2 +F5 +85 +62 +86 +63 A9 -D0 -19 -20 -A6 -FB -D0 -14 -A5 -A4 -49 -01 +31 +85 +64 +A2 +04 +C6 +64 +A9 +2F 85 +65 +38 A4 +63 +2C +85 +62 +84 +63 +E6 +65 +98 +FD +FC F0 -0F +A8 A5 -BD -49 -01 -85 -BD -29 +62 +FD 01 -45 -9B -85 -9B -4C -BC -FE -46 -BD -C6 -A3 +F1 +B0 +EE A5 -A3 +65 +C5 +64 F0 -3A -10 -F3 +05 20 -97 -FB -58 -A5 -A5 -F0 -12 -A2 -00 -86 -D7 +16 +E7 C6 +64 +CA +F0 +D6 +10 +D6 +60 A5 -A6 -BE -E0 -02 +00 D0 +44 +AC 02 -09 -80 -85 -BD -D0 -D9 -20 -D1 -FC -90 -0A -D0 -91 -E6 -AD -A5 -D7 -85 -BD -B0 -CA -A0 +DC +8E +02 +DC +A9 +7F +AE 00 -B1 -AC -85 -BD -45 -D7 -85 -D7 -20 -DB -FC -D0 -BB -A5 -9B -49 +DC +8D +00 +DC +AD 01 -85 -BD -4C -BC -FE -C6 -BE -D0 -03 -20 -CA -FC -A9 -50 -85 -A7 -A2 -08 -78 -20 -BD -FC -D0 -EA -A9 -78 -20 -AF -FB -D0 -E3 -C6 -A7 -D0 -DF -20 -97 -FB -C6 -AB -10 -D8 +DC +8E +00 +DC +8C +02 +DC A2 +05 0A -20 -BD -FC -58 -E6 -AB +90 +08 +0A +0A +90 +10 +2A +B0 +21 +60 A5 -BE -F0 -30 +54 +C9 +4C +D0 +1A 20 +51 +F4 +4C +66 +FE 8E -FB -A2 -09 -86 -A5 -86 -B6 -D0 -83 -08 -78 -AD -11 -D0 -09 -10 -8D -11 +16 D0 20 -CA -FC -A9 -7F -8D -0D -DC +A3 +FD 20 -DD +50 FD -AD -A0 -02 -F0 -09 -8D +20 15 -03 -AD -9F +FD +20 +5B +FF +58 +20 +1B +FC +78 +4C 02 -8D -14 -03 -28 -60 +FD 20 93 FC @@ -15593,8 +15593,8 @@ FF 9A D8 20 -02 -FD +6D +FC D0 03 6C @@ -15649,13 +15649,13 @@ C3 C4 A0 1F +B1 +C3 +90 +05 B9 14 03 -B0 -02 -B1 -C3 91 C3 99 @@ -15722,37 +15722,37 @@ B2 B3 A8 A9 -03 +80 +A2 +20 85 C2 -E6 -C2 B1 C1 -AA -A9 -55 +49 +FF 91 C1 D1 C1 D0 -0F -2A +10 +49 +FF 91 C1 D1 C1 D0 08 -8A -91 -C1 C8 D0 -E8 -F0 -E4 +EB +E6 +C2 +CA +D0 +E6 98 AA A4 @@ -15772,14 +15772,14 @@ A9 88 02 60 -6A -FC -CD -FB 31 EA -2C -F9 +31 +EA +31 +EA +31 +EA A9 7F 8D @@ -15886,17 +15886,17 @@ C9 02 D0 0D -AD -97 -02 -48 A9 00 8D 97 02 -68 60 +68 +68 +4C +80 +A4 85 9D A5 @@ -15958,7 +15958,7 @@ AC 0D DD 30 -1C +60 20 02 FD @@ -15974,7 +15974,7 @@ F6 E1 FF D0 -0C +50 20 15 FD @@ -15987,74 +15987,74 @@ E5 6C 02 A0 -98 -2D -A1 -02 -AA -29 -01 -F0 -28 -AD -00 -DD -29 -FB -05 -B5 -8D +4C +B6 +FE +A9 +6F +20 +9F +FE +BD 00 -DD -AD -A1 -02 -8D -0D -DD -8A -29 -12 -F0 -0D -29 02 F0 06 20 -D6 -FE -4C -9D +DD +ED +E8 +D0 +F5 +20 FE +ED +A5 +BA 20 -07 -FF +09 +ED +A9 +6F 20 -BB +C7 +ED +20 +13 EE -4C -B6 -FE -8A -29 -02 +20 +16 +E7 +A5 +90 F0 -06 +F6 +4C +EF +ED +48 +A9 +00 +85 +90 +A5 +BA 20 -D6 -FE +0C +ED +68 +20 +B9 +ED +A5 +90 +F0 +A4 +68 +68 4C -B6 FE -8A -29 -10 -F0 -03 -20 -07 -FF +ED AD A1 02 @@ -16067,140 +16067,140 @@ A8 AA 68 40 -C1 -27 -3E -1A -C5 -11 -74 -0E -ED -0C -45 -06 -F0 -02 -46 -01 +4C B8 -00 -71 -00 -AD -01 -DD -29 -01 +F9 +A9 +93 +4C +A5 +F2 +84 +C6 +20 +B4 +E5 +C9 85 -A7 -AD -06 +90 +F4 +C9 +8D +B0 +F0 +BA +BC +08 +01 +C0 +A4 +D0 +E8 +AC +05 +03 +C0 +F0 +D0 +E1 +A4 +D4 +D0 DD E9 -1C -6D -99 -02 -8D +84 +A8 +C9 +05 +F0 +D1 +C9 06 -DD -AD -07 -DD -6D -9A -02 -8D -07 -DD -A9 -11 -8D -0F -DD -AD -A1 -02 -8D -0D -DD -A9 +D0 +03 +20 +53 +E4 +A2 FF -8D -06 -DD -8D -07 -DD -4C -59 -EF -AD -95 -02 -8D -06 -DD -AD -96 -02 -8D -07 -DD -A9 -11 -8D -0F -DD -A9 -12 -4D -A1 -02 -8D -A1 -02 -A9 +E8 +BD +1D FF -8D -06 -DD -8D -07 -DD -AE -98 -02 -86 -A8 -60 -AA -AD -96 +D0 +FA +88 +D0 +F7 +84 +D8 +E8 +BD +1D +FF +F0 +BF +C9 +01 +D0 +04 +25 +BA +49 +39 +99 +77 02 -2A -A8 -8A -69 C8 +C9 +22 +D0 +EA +F0 +E6 +00 +93 +4C +49 +53 +54 +0D +00 +93 +52 +55 +4E +0D +00 +4C +4F +41 +44 +0D +00 +93 +3E +24 +30 +0D +00 +00 +4F +46 +46 8D -99 -02 -98 -69 +00 +53 +41 +56 +45 +22 +00 +40 +01 +0D 00 8D -9A -02 -60 -EA -EA -08 -68 -29 -EF -48 48 8A 48 @@ -16257,7 +16257,7 @@ DC 4C 8E EE -03 +53 4C 5B FF diff --git a/src/gowin_prom/gowin_prom_1541_rom.ipc b/src/gowin_prom/gowin_prom_1541_rom.ipc index dd6cfc3..49b12ca 100644 --- a/src/gowin_prom/gowin_prom_1541_rom.ipc +++ b/src/gowin_prom/gowin_prom_1541_rom.ipc @@ -12,4 +12,4 @@ LANG=1 READ=0 RESET_MODE=true WIDTH=8 -MEM_FILE=../../tools/JiffyDOS_C1541.mi +MEM_FILE=../../gowin_prom/c1541_sdplus.mi diff --git a/src/gowin_prom/gowin_prom_1541_rom.vhd b/src/gowin_prom/gowin_prom_1541_rom.vhd index 0f584b2..3f67015 100644 --- a/src/gowin_prom/gowin_prom_1541_rom.vhd +++ b/src/gowin_prom/gowin_prom_1541_rom.vhd @@ -5,7 +5,7 @@ --Part Number: GW2AR-LV18QN88C8/I7 --Device: GW2AR-18 --Device Version: C ---Created Time: Wed Nov 01 07:13:16 2023 +--Created Time: Mon Nov 06 21:12:23 2023 library IEEE; use IEEE.std_logic_1164.all; @@ -176,38 +176,38 @@ begin INIT_RAM_1D => X"4C0859133A9CB808DC15B10B821B006087A0386F213BCE39DE52B0ED8B1432DD", INIT_RAM_1E => X"446400CB120536A49E129F4F3831B3D84C68D9A3668D6D27905D311854D2ACE5", INIT_RAM_1F => X"E30580C88A392E91A60D5E5A1A6000A839108610AE11159A5E95EF4E39243536", - INIT_RAM_20 => X"3488CE8D3224723D148E8856660192F36452564699183011698689E7D9141133", - INIT_RAM_21 => X"01486CEC1005C00804C8414F90849581FC6F22E737A0E8E73E041F516B8D3324", - INIT_RAM_22 => X"84772289E4E05E7008211907D9C4EC2583125153200A7BB05CDE7D8DB3196D2D", + INIT_RAM_20 => X"118C6C0230001C5C016245B088D00C717452564699183011698689E3E4066B20", + INIT_RAM_21 => X"01486CEC1005C00804C8414F90849581FC6F22E737A0E8E73E041F516B8D3320", + INIT_RAM_22 => X"84772289E4E05E7008211907D9C4EC258312515307887886BEF4E7A86C32832D", INIT_RAM_23 => X"98240C58640404834104E998626980BC8375D98CE96B006230557DC36304B4C6", INIT_RAM_24 => X"C8460A78038C1CA074C8CC02E42E754D381205231369239508060E1216075B2D", - INIT_RAM_25 => X"0D45FAAB527628B16948552A2ADEA6B970E6E2E95AD29AA94D04D62CE16995AA", + INIT_RAM_25 => X"0D45FAAB527628B366C8552A2ADEA6B970E6E2E95AD29AA94D04D62CE16995AA", INIT_RAM_26 => X"3C2DA96C36D41440E3700134165386020F6E135FAB446E4010F240A266F510EF", - INIT_RAM_27 => X"295915A4AD5ED2538A73881B0D131B4020CD301A1A92D0D2D90283DAC91AAC9B", - INIT_RAM_28 => X"2096718A3819E02095E052818464099A6058009751DB735814161483D4439489", - INIT_RAM_29 => X"C00A490848925A091C8A452391900C053E7040A224CCA64803492C0E40C4C941", - INIT_RAM_2A => X"04D29E248981A5041848204802030E4180A4020A26B146612B1B001FE53354A6", + INIT_RAM_27 => X"295915A4AD5ED2538A738818CFB28E5620CD301A1A92D0D2D90283DAC91AAC9B", + INIT_RAM_28 => X"A3493819CEC012BEA54BE76B8CA769C9894EDAA751DB735814161483D4439489", + INIT_RAM_29 => X"521A992D6E0C4C091C8A45239190714257A5A221C5B8A1C4710A1C4338A21900", + INIT_RAM_2A => X"04D29E048981A4001045929402030E4180A4120A26B146612B1B1E818A02CA8C", INIT_RAM_2B => X"4DA33942956FAFA86DCEDD7395EFAFCDE0CF5ED6919686A824B4E729501FE682", - INIT_RAM_2C => X"78836F346D9C76F3B7B140CF71030204A0C1A5AE62D37AECA4E244DB39B1D529", - INIT_RAM_2D => X"8A4054D0090CB6D231144DCBDA15346019426B29998119B6CD1B678B2474D676", - INIT_RAM_2E => X"7B64047888686E8CD1410F92DF978B28E51DC0C646A4FC020FB690239F399188", - INIT_RAM_2F => X"D01602FBD879136420466FBA7F363EC212FEA7FB6324CD4C9A21A0C72B11C6A7", - INIT_RAM_30 => X"C68A301799A6326EC306D4C6C9B4B2D787134FB353215F0E54C4D27837169888", - INIT_RAM_31 => X"09729A8D2E629DAA5372DF89B28CEB653E9AC14B4481109480FB4DFE0CD4BE6A", - INIT_RAM_32 => X"4B04931E0EDD70218A4CD55D7FDDCB4EDA5795494E3042D8F823F06B2468F8A4", - INIT_RAM_33 => X"624475041454D8962290078A6836751F20BEF4CF07281B054DE3C84F8AA2EA8D", - INIT_RAM_34 => X"5E6D02B0458924B3EC7D78BAAC8F5250AF6898C3AD438A0E9AAF35BEDBF5C92B", - INIT_RAM_35 => X"4A9D138A6CD29230862C01D972F029B325CA41825B73000B0423BAE94F53936E", - INIT_RAM_36 => X"E7E0703B5E0C1362830898B4CE12093449B9EEF57BCF52021B0545F41CA7292C", - INIT_RAM_37 => X"DA08790D0C8A00695A029FC46E765DD503C35A00000FDFE1703834769DC095DD", - INIT_RAM_38 => X"F2D50200BBBB99FF911311FF68E52072A160642F21236848581A772160931448", - INIT_RAM_39 => X"485739171024C02A1662E2A5B3AA1CA542D24CF1AEB8D7D75DF5040436AA8858", - INIT_RAM_3A => X"2C643694BAACD58E57541E76BD0D7507B03A7C00D77402EACA0275D7535C7720", - INIT_RAM_3B => X"8539C823209029D234A98640A64C53246482880C8DA391772184AD3344E40CC9", - INIT_RAM_3C => X"40744150E801E7100002A73BF603C50B21B2CAABAABAEA4BC08C6B3CB9000060", - INIT_RAM_3D => X"078F67B850820012000DE5CED7E4F020AC00AC53328A8058087F568008829423", - INIT_RAM_3E => X"212225757B3BE0DB52B861439ACA540008D4B016D64696CB5A344001EF2E4D29", - INIT_RAM_3F => X"4FBBBF144A8B171630036C0A3E752082E8B42499993840C14D8E67D9452A66B3" + INIT_RAM_2C => X"78836F346D9C76F3B7B140CF71030204A0C1A5A232D37AECA4E244DB39B1D529", + INIT_RAM_2D => X"3E7054D0090CB6D231144DCBDA15346019426B29998119B6CD1B678B2474D676", + INIT_RAM_2E => X"7B60047888606E8CD1410F92DF978B28E51DC0C646A4FC020F3690239F399145", + INIT_RAM_2F => X"D01602FBD879136420466FBA7F363E084DFD4FE36324CD4C9A21A0C72B11C6A7", + INIT_RAM_30 => X"C68A301799A6326EC006D4C6C9B4B2D784134FB353215F0E54C4D27837169888", + INIT_RAM_31 => X"09709A8D46629DAA5372DF89CA4671B29F61C843CB858D01B1AB4DFA0CD4BE6A", + INIT_RAM_32 => X"4B04931E0EDD70218A4CD57D7FDDCB4EDA5795494E3052D8F823F06B2468F8A4", + INIT_RAM_33 => X"624475041454D8962290078A683674B950BEF4CF17281B0549E3C84F8AA2EA8D", + INIT_RAM_34 => X"766D02B0458924B3EC7D78BAACCF5257B66D0AC3AD438A0E9AAF35BEDBF5C92B", + INIT_RAM_35 => X"DF55138A68D29230862C01D972F029B325CA41825B73000B0423BAE94F53936E", + INIT_RAM_36 => X"32F276A3811D1362830898B4CE1221B2C53DE8394E52072C1CA1CA40E538B17F", + INIT_RAM_37 => X"6D1EA106BB34AD8A01A568FFEBAADDD57382F7F87C01C1FA76E8A7027C877FB8", + INIT_RAM_38 => X"E2CFD755BBBB99FF911311FFCA2152A33113133113107AB836850E0277C08A24", + INIT_RAM_39 => X"485739171024C02A166248A5B3AA14A542D24CF1AEB8D7D75DF7BC729E290394", + INIT_RAM_3A => X"8C9C2D2EE972B18E57541E76BD0D7507B03A7C00D77402EACA0275D7535C7720", + INIT_RAM_3B => X"997088456F6110C8882081E1FEB6DA80807E96DF3CB9601633B133D80141ACC9", + INIT_RAM_3C => X"3B013C09F01D0027801F173BF603C50B21B2CAABAABAEA4BC15EDC092C198960", + INIT_RAM_3D => X"078F67B854820012004DE5CED7E5F020AC00AC53328A8058087F568020A60680", + INIT_RAM_3E => X"212225757BBBE0DB5AB8614B9ACA540008D4B00001A5694FF7554009EF2E4D29", + INIT_RAM_3F => X"4FBBBF2092829242A40FA364B3251F037A49BA412D8E932683982C53644666B3" ) port map ( DO => prom_inst_0_DO_o, @@ -255,38 +255,38 @@ begin INIT_RAM_1D => X"C1AC468805C02404B28370C171D0C20D310C42001A00008000218F1050F5A98B", INIT_RAM_1E => X"D609D024C141241004284201080B86BA53850A14081008499002F0E60C006000", INIT_RAM_1F => X"00C4623E71004A8D5588B497D55B20034320518C0A630469C0024800024995B1", - INIT_RAM_20 => X"02420081010512808002404A0128400F06D820D2C3085B5A2460601048A08100", - INIT_RAM_21 => X"20406302082030192059312ADA62D160A8B8C118C0A10208C21341485ECB9904", - INIT_RAM_22 => X"04212058000640036D688048000029A16281488904C10A8123B1A0000001534A", + INIT_RAM_20 => X"64E84802202008280008652488B4A820A6D820D2C3085B5A24606008A3BD4A88", + INIT_RAM_21 => X"20406302082030192059312ADA62D160A8B8C118C0A10208C21341485ECB9901", + INIT_RAM_22 => X"04212058000640036D688048000029A16281488911451444030B155786B0104A", INIT_RAM_23 => X"01C158060269A69829B0046111833589568952111250DE4FA6008AD1204AA030", INIT_RAM_24 => X"CC50C023C1BC80002E3C31000000228080014210E30C80894DA08001040A4500", - INIT_RAM_25 => X"177444863F81540266C4A0CB09DBCDAB6843EB0150D82B00C99A66D95331986C", - INIT_RAM_26 => X"C0601806E80DEA00202CFB440ED03ABAD55B2015161080C8B3519E414422E9DE", - INIT_RAM_27 => X"4200A4040851090022A824832D42225C3B8ADD83418490D2D105455082710950", - INIT_RAM_28 => X"08008C005B40960883560818594341510C200148000220860800086225B00401", - INIT_RAM_29 => X"50801B389982D000080002000C99248501058B031041D0A1F02500442B980870", - INIT_RAM_2A => X"BC23E20A58641E0088C6144C02800400181E528010B034CD0161066662041D98", - INIT_RAM_2B => X"2A140045025014536025888D630114529BB8802000400C07810802164600510F", - INIT_RAM_2C => X"D31A420BE109A4210D74302230AC2962A01541A230D1514827005F37516C8A28", - INIT_RAM_2D => X"9A000441014000011000D0040063410102090109202CC3A482F84D305203C404", - INIT_RAM_2E => X"46E114155D1B040020008206C188441042E276B9319506B041A94A6E1022C848", - INIT_RAM_2F => X"02240486139034589EDA800A10B44396E400A103469B60A2A9030C3000036809", - INIT_RAM_30 => X"34440725221CA18118D901082A41A10830CC046E5A02207B151509CA058480C5", - INIT_RAM_31 => X"0212412048D92408248520041253009B00A016C00B025B010308121594970945", - INIT_RAM_32 => X"00203021511510100403880A8A02901414840280144BBC10887A1B4203431362", - INIT_RAM_33 => X"549A08C220540280913E0115001089956004A400C207F4B8985D1280141D42ED", - INIT_RAM_34 => X"B00800050024A00556A00C00002362D902C00011220004101BD2A81010AA11AA", - INIT_RAM_35 => X"F7610100208008040085503020AA9180021200401005408042C414108AAC4002", - INIT_RAM_36 => X"429FAFF6A9F8004800440212D0D01046814150A8550AAB4601885B05000215A4", - INIT_RAM_37 => X"4537D40928154A1094814A2054A3267F98C010000005B040AFE7CBCC0BBE02B3", - INIT_RAM_38 => X"2F1BFBDDDDFF11FF911311FF094AB48408B001129ED9140637E0A2089E2183FE", - INIT_RAM_39 => X"400A108203A05ED5628C050021018000354A0428055400A8008115EA14315A2C", - INIT_RAM_3A => X"80888500440030000AAA445540EAA21126102A3EA8207155549CAA88AAAA044B", - INIT_RAM_3B => X"420081160410001104086021141C21840930468CCC550A801AB09C801310A400", - INIT_RAM_3C => X"1001403422B012C478F56B400010A08A9649B0892492090830A1062810000004", - INIT_RAM_3D => X"0109248010AA55AAC421408000840D4A0132014957442A0235220C44A82D21C0", - INIT_RAM_3E => X"125C4079350DE18C908D15D09A1F22244A15010188268CC3532658840A040800", - INIT_RAM_3F => X"E90515440182898D103CDBE6000801BC549156C02910320010000000CA13540C" + INIT_RAM_25 => X"177444863F81540360C4A0CB09DBCDAB6843EB0150D82B00C99A66D95331986C", + INIT_RAM_26 => X"C0601806E80DEA00202CFB440ED03ABAD55B2015161080C933519E414422E9DE", + INIT_RAM_27 => X"4200A4040851090022A82483400114043B8ADD83418490D2D105455082710950", + INIT_RAM_28 => X"18205B408001001460D014044514054880004058000220860800086225B00401", + INIT_RAM_29 => X"80E0800240409800080002000C99020ED4840DDC008114090205C09101359800", + INIT_RAM_2A => X"BC23E21A58641F048C2D050802800400181E528010B0360D0161009811F50040", + INIT_RAM_2B => X"2A140065025054536025888D630114529BB8802000400C07810802164600510F", + INIT_RAM_2C => X"D31A420BE109A4210D74302230AC2962A015412D80D1514827005F37516C8A28", + INIT_RAM_2D => X"02100441014000011000D0040063420102090109202CC3A482F84D305203C404", + INIT_RAM_2E => X"46E814155D03040020008206C188441042E276B93195073041294A6E1022C84D", + INIT_RAM_2F => X"02240486139034589EDA800A10B4435BD0014213469B60A2A9030C3000036809", + INIT_RAM_30 => X"34440725221CA1811B5901082A41A108334C046E5A02207B151509CA058480C5", + INIT_RAM_31 => X"0210412008D92408248520244931804D80544074441258620238121194970945", + INIT_RAM_32 => X"002030215115101004038A0A8A02901414840280144B8410887A1B4203431362", + INIT_RAM_33 => X"549A08C220540280913E0115001085500004A4008207F4B89C5D1280141D42ED", + INIT_RAM_34 => X"800800050024A00556A00C00006362D960001211220004101BD2A81010AA11AA", + INIT_RAM_35 => X"22210100248008040085503020AA9180021200401005408042C414108AAC4002", + INIT_RAM_36 => X"E15FED5F7E28004000440212D0D0601C08A20A00042B40012112956908545A28", + INIT_RAM_37 => X"22945C2014084A15484255410150267FC0016C100BFFBE01EC0416F8227ED577", + INIT_RAM_38 => X"5168A8A0DDFF11FF911311FFD1122D1008418E08618C10179140E5F8A0BE21FF", + INIT_RAM_39 => X"400A108203A05ED5628D544021018800354A0428055400A8008150842855A421", + INIT_RAM_3A => X"1100D0910AA00C000AAA445540EAA21126102A3EA8207155549CAA88AAAA044B", + INIT_RAM_3B => X"A044307A4A9EAC5402100858CDD2DAD68C22968228100CA14544015236890400", + INIT_RAM_3C => X"01D48AA41484BA9155C123400010A08A9649B089249209082434407128C8204D", + INIT_RAM_3D => X"0109248010AA55AAC421408000840D4A0132014957442A0235220C44AB482001", + INIT_RAM_3E => X"125C4039350DE1AC988D15DC9ADF22244A150149204252A082A058840A040800", + INIT_RAM_3F => X"E90515448010D22C200012200CA2D440C94019C080408022000000E00041540C" ) port map ( DO => prom_inst_1_DO_o, @@ -334,38 +334,38 @@ begin INIT_RAM_1D => X"89AC1A10225124048A00A35F36DF574D038613673A19CE38C62134A55358B208", INIT_RAM_1E => X"962418EB74602630041AC7052A1D11D20D60C993060C294300CD220A88634729", INIT_RAM_1F => X"9150B254D3186CC56699DC1B566BAD705BBD7708A7118BB58B19ECC30BCDC5A6", - INIT_RAM_20 => X"B0C1C64C31C8C06584AEC10F2080213226D874D4D7521B5B06A229C9580180B1", - INIT_RAM_21 => X"B2164DCC288EE0118570D80EDDA0D1B1FA3D408E5EA00120425225586ECD9B02", - INIT_RAM_22 => X"52A28410808668401D09D00770100C4DB34D984F04D8CB00D14E7314B008246A", + INIT_RAM_20 => X"13CDE8EA36C3041C004143A528FD3C1076D874D4D7521B5B06A229C580F80408", + INIT_RAM_21 => X"B2164DCC288EE0118570D80EDDA0D1B1FA3D408E5EA00120425225586ECD9B00", + INIT_RAM_22 => X"52A28410808668401D09D00770100C4DB34D984F0B00B01F871073B82680606A", INIT_RAM_23 => X"99C30C4E2768266329B4E4A31260A19851F51B057079DF6376C4FF8800723452", INIT_RAM_24 => X"4E0CD2B56119E52436DCD921084AB50641046303624C81864DB4D021860F5F25", - INIT_RAM_25 => X"BFF29EF5924A7363363C0BC3640318D1057B33E4A2206430D708A7BBA265C2B0", + INIT_RAM_25 => X"BFF29EF5924A73603E3C0BC3640318D1057B33E4A2206430D708A7BBA265C2B0", INIT_RAM_26 => X"9845515616A99C280226038403448280ED451913692222CA30D0404544770AA0", - INIT_RAM_27 => X"230930808CC2D2408EE20810A2308B8C33CA59931006D8D8DD56059AC21DCD99", - INIT_RAM_28 => X"089256082D49B02080CC02484B62C9120C4D22B5430B33C614521C63D4A21000", - INIT_RAM_29 => X"DA166130488848091888442316C110A09140000810440004D124040C65B4C08A", - INIT_RAM_2A => X"1CB2F20C41619E0590C8205888082D441687090836591C06061901E1E83148B1", - INIT_RAM_2B => X"2D833142120C99820F0CCCC718DF734FE0490C4210C2062005B196A89015458E", - INIT_RAM_2C => X"30DA420A4908642503A318011D631B07428DE00C700679EA86210E0A3115C613", - INIT_RAM_2D => X"8C5434DD506C96624223C4CF4B1310021804E12101DB41248292430B0D109424", - INIT_RAM_2E => X"E147105C5C402E088038EA16891F17412907E60B43A9CE3A4890819318491FE4", - INIT_RAM_2F => X"408C08B2583831066584EC2E56B413AC30C4E5634164ECEA8AA3A8C42811C001", - INIT_RAM_30 => X"C4041115998681000102848250B58100051148135B230C0740D0D26A5796108A", - INIT_RAM_31 => X"031409886AFBB90877C648E09405A16C2A8D820900025AA5245A049984871BE7", - INIT_RAM_32 => X"C8C302FD1E370510BDFAEEC46E47BF3DF9CA72BD2C51FE1AE932D3E30361B984", - INIT_RAM_33 => X"160E35C022C4EABAB608220C601946F90288C847AEB80D1D22EB404C688AA6C1", - INIT_RAM_34 => X"49AD105442A9C096EE577D88C4DC6C19247942D68C11C859C2ED10F1247700DB", - INIT_RAM_35 => X"E7340040354E7A544A8012D72F608989159402D4630900954612BE210DD3F098", - INIT_RAM_36 => X"23A074BA5A07B0CC8540AA04CCA00514CDB0B65B2CC59F6602081DA18C610FA4", - INIT_RAM_37 => X"6F1870C0A80DCE738CD1336FAC77787837E9560000C7D6F074A834558DC181D5", - INIT_RAM_38 => X"751B99FCF1F3F1FF911311FF6129F6B5BD73672E61B1BCCA485861292013946C", - INIT_RAM_39 => X"824EA50692C0DB6232279797F32392D519504679E3BCDD55E4D0F9B2A0369F5C", - INIT_RAM_3A => X"B3200E5AA32344BDD1187EF230B4679FF3AD50AB46665A234954511DF110C525", - INIT_RAM_3B => X"443D882A20813123785044602241EFA22501C0820921014E2A8212114908DA45", - INIT_RAM_3C => X"14A113014248D5727A7A8731B6110501B424000102082140F4955A5B97000069", - INIT_RAM_3D => X"00466205372A056A0416DCB911992D429542151AD5A8822A0D544A44A22A1DE5", - INIT_RAM_3E => X"D123B5BE31D1E2B34E6BD1230C715AC001C030110820148B51664082D6E5D4E7", - INIT_RAM_3F => X"CBEEBD404E0A1694212B440432E421978C5C96C98538224904122601642120A2" + INIT_RAM_27 => X"230930808CC2D2408EE208106C026D4233CA59931006D8D8DD56059AC21DCD99", + INIT_RAM_28 => X"8C242D498E44106DA00886220C94254A890A5A25C30B33C614521C63D4A21000", + INIT_RAM_29 => X"0A08A00C51500E091888442316C1004C8290A66C040014010042C001003A8404", + INIT_RAM_2A => X"1CB2F20C41619E059045421088082D441687190836591E0606191A1A03100A50", + INIT_RAM_2B => X"2D833162120C99820F0CCCC718DF734FE0490C4210C2062005B196A89015458E", + INIT_RAM_2C => X"30DA420A4908642103A318011D631B07428DE8AF300679EA86210E0A3115C613", + INIT_RAM_2D => X"2A5434DD506C96624223C4CF4B1310021804E12101DB41248292430B0D109424", + INIT_RAM_2E => X"E143705C5C402E088038EA16891F17412907E60B43A9CE3A4810819318491F46", + INIT_RAM_2F => X"408C08B2583831066584EC2E56B413309D89CAC34164ECEA8AA3A8C42811C001", + INIT_RAM_30 => X"C4041115998681000082848250B58100059148135B230C0740D0D26A5796108A", + INIT_RAM_31 => X"0314098812FBB90877C648E0D022D4B615408560CF97DD6BA4B2049D84871BE7", + INIT_RAM_32 => X"C8C302FD1E370510BDFAECE46E47BF3DF9CA72BD2C51DE18E932D3E30361B984", + INIT_RAM_33 => X"160E35C022C4EABAB608220C601940A54288C847DEB80D1D26EB404C688AA6C1", + INIT_RAM_34 => X"61AD105442A9C096EE577D88C49C6C1A3CA052D68C11C859C2ED10F1247700DB", + INIT_RAM_35 => X"37700040394E7A544A8012D72F608989159402D4630900954612BE210DD3F098", + INIT_RAM_36 => X"11DB74E381DCB0D48540AA04CCA0602408378318C21F6319AD7253ED6B4EBBCD", + INIT_RAM_37 => X"379C60EF8A39C60DCDCE36E9AE7A78784E30F5BC1125C1AC75A0270630874FB8", + INIT_RAM_38 => X"3AEDCCFCF1F3F1FF911311FFF871954339F29529539F71F01BCC021061800236", + INIT_RAM_39 => X"824EA50692C0DB6232263C77F3239AD519504679E3BCDD55E4D3A8B5A44FB5AD", + INIT_RAM_3A => X"640BB4A2814A98BDD1187EF230B4679FF3AD50AB46665A234954511DF110C525", + INIT_RAM_3B => X"3850383C26A53FA082B08AD8CCF0262A24EB03C65B9769B17701301717100A45", + INIT_RAM_3C => X"52055822C0A840AB05EC1331B6110501B424000102082140E53AB5780416B847", + INIT_RAM_3D => X"00466205332A056A0416DCB911982D429542151AD5A8822A0D544B448A862A11", + INIT_RAM_3E => X"D123B5FE3151E2924E6AD12B0CF15AC001C0305F31CE31B4DCF44082D6E5D4E7", + INIT_RAM_3F => X"CBEEBD59011600231058510616814C04E9832C04CCD2188AE2D98593098420A2" ) port map ( DO => prom_inst_2_DO_o, @@ -413,38 +413,38 @@ begin INIT_RAM_1D => X"C58C91A20845F012D815E215841E3E2D80312B631B38C679CE72218C521886DD", INIT_RAM_1E => X"145BFA2E00656C96A5CA5260012703B01868D9A346CD043D1012E0E11C086210", INIT_RAM_1F => X"48100A0802394011C00C8BC11C03A80F23B81100134BB7061041D9AC33E1D134", - INIT_RAM_20 => X"8689C95142D8C069152089154248968E069A2286CE193B1A0610806651181A32", - INIT_RAM_21 => X"CCC06823832123620C184403DC90C40A0C40122F18A0A0C77244191107C0BA22", - INIT_RAM_22 => X"80382898504665213C08D00884B542420845910E36C2D0984C88664832910407", + INIT_RAM_20 => X"3BB09E6002631042BE5A627D002D9041069A2286CE193B1A0610806EC6EA2500", + INIT_RAM_21 => X"CCC06823832123620C184403DC90C40A0C40122F18A0A0C77244191107C0BA21", + INIT_RAM_22 => X"80382898504665213C08D00884B542420845910E1D8DD8DD26A780072C802007", INIT_RAM_23 => X"BA655926936C062321B1640C2068C409000384489982011C361900C905748D00", INIT_RAM_24 => X"CF12C50A9388C202438D8512321102798E98663E264C93126DA2C3CB9C020113", - INIT_RAM_25 => X"5468B4984A30080229B152D310002081411CB3080C0B300BC117730901058400", + INIT_RAM_25 => X"5468B4984A300803203152D310002081411CB3080C0B300BC117730901058400", INIT_RAM_26 => X"37A26898933400008478FDD85D02383A676C2A9990526A1605F2FEB27700F48D", - INIT_RAM_27 => X"58768D6D610842EB0A36DA5BDA19A398004C8020639122020EE1D24010227246", - INIT_RAM_28 => X"2AD4AFAF5715E0B916725C94940511DC695A2B2A9A68480EA8EDA0E82144ED1B", - INIT_RAM_29 => X"40C96A42D09A96AD56AF55ABDFEA08010A25CBBD61DDB44CCB687D6B60214BF7", - INIT_RAM_2A => X"210C86229A1225608A0690DB0AD9EB6CFEFD3FAAA5ED7ACE29404AAAA702550A", - INIT_RAM_2B => X"80B1AD8BD433262B4A4ED313B5A5CC9A0ACE739C875C80DFB7F7B5B286C06070", - INIT_RAM_2C => X"30CA4635CD186463176144847D639D0BC28F160A2F055256CD42055E19B093F2", - INIT_RAM_2D => X"8402445600084C0904C9CD85191634074162000B09C91F248D73430824E79474", - INIT_RAM_2E => X"4BC201D10BCB84FB90100B06830B058E10E6C2DDFD094C28820218BE12D01DF9", - INIT_RAM_2F => X"DA9E10F53794022E6D8EA4EB5E34166C724DB5E34165C9ACA99280B181C76283", - INIT_RAM_30 => X"72F925661DBC9E2C7EFCD8E6B1B09E9372CE02BE529259EE10CCC9C9254CB4C6", - INIT_RAM_31 => X"6034424804A06D020D18DA443451986D0136C54427315110200A0DB39464AC89", - INIT_RAM_32 => X"03EFA1EB55FC26313FED993311B2FF7F6F5EF7FD6E5B6854AA63C6CE204995A0", - INIT_RAM_33 => X"307A42A694012E8B809480E39937186B4223888943A7E5B40241C409E03400A1", - INIT_RAM_34 => X"B74284DD12E4C4480088802232305C90C1D19C34489200520288075FFD00C910", - INIT_RAM_35 => X"52214A4A6D5EF8DC1B8D769F7F7BA0BE36444694AD7A450DC0A540C6000E23B4", - INIT_RAM_36 => X"907F0B5F27F063BCB5C12E3252620D65504351A8D45A8000C358D10442108028", - INIT_RAM_37 => X"44678C170E240294A4800E0812003F999CB019775420F8448B5FCBBE42FE462F", - INIT_RAM_38 => X"28915680FF3333FF911311FF0318018C520096BBDF69112D77B1B0B5DE4A5B92", - INIT_RAM_39 => X"8E1BBD1335C6176D34472536D5892EB45EC00C20080022221182857C07230480", - INIT_RAM_3A => X"2F009BDE8C8F203FC4CC2DB18C73128B5FB767B731323899D7D88CC8A0CE1E47", - INIT_RAM_3B => X"95A7EFB7BEF5ADE4C891AAAA9E31FF1C40FB4F1F5DD3B093A494AE2AC5C57F8D", - INIT_RAM_3C => X"31A75B474E2C2C37189FA14864F069F86DB6DB33C92492F34E37335FBF000010", - INIT_RAM_3D => X"5182493C9508DC48DC56FDFF44F884CC37443742B1A64E2E20C113CD8C99CC4D", - INIT_RAM_3E => X"F34FB4C03301E6200423DE7B4710140F83E0FF8692AC0BD212401B8AB7EFD5EF", - INIT_RAM_3F => X"A9502F9169AFDF5FB5B7FBE4BC39B5A631ED36C4181B8138302E8ACDB7AD1733" + INIT_RAM_27 => X"58768D6D610842EB0A36DA5BF59B6F7A004C8020639122020EE1D24010227246", + INIT_RAM_28 => X"BF6D5715ECD7228665D3314CD12649C12D6C94CA9A68480EA8EDA0E82144ED1B", + INIT_RAM_29 => X"DAD2993F170CDEAD56AF55ABDFEA331681310760CB19B0CC33180CC659B81F16", + INIT_RAM_2A => X"210C86029A1224608D245BD70AD9EB6CFEFD2FAAA5ED7CEE2940442198E36C8C", + INIT_RAM_2B => X"80B1ADCBD433662B4A4ED313B5A5CC9A0ACE739C875C80DFB7F7B5B286C06070", + INIT_RAM_2C => X"30CA4635CD186467176144847D639D0BC28F1F8A2F055256CD42055E19B093F2", + INIT_RAM_2D => X"0002445600084C0904C9CD85191634074162000B09C91F248D73430824E79474", + INIT_RAM_2E => X"4BCB61D10BC384FB90100B06830B058E10E6C2DDFD094C28830218BE12D01D42", + INIT_RAM_2F => X"DA9E10F53794022E6D8EA4EB5E341631D49B6BC74165C9ACA99280B181C76283", + INIT_RAM_30 => X"72F925661DBC9E2C7CFCD8E6B1B09E9370CE02BE529259EE10CCC9C9254CB4C6", + INIT_RAM_31 => X"6034424834A06D020D18DA445100CC3680A048470502D4228D2A0DB39464AC89", + INIT_RAM_32 => X"03EFA1EB55FC26313FED991311B2FF7F6F5EF7FD6E5B5854AA63C6CE204995A0", + INIT_RAM_33 => X"307A42A694012E8B809480E39937184D1223888953A7E5B40241C409E03400A1", + INIT_RAM_34 => X"974284DD12E4C4480088802232705C90EAEF0C34489200520288075FFD00C910", + INIT_RAM_35 => X"62254A4A615EF8DC1B8D769F7F7BA0BE36444694AD7A450DC0A540C6000E23B4", + INIT_RAM_36 => X"C824BE1DFC2163ACB5C12E3252620C3458A24884210010806316300318C10038", + INIT_RAM_37 => X"22221C08244A5224025290030190BF99EC893E1126DAFE57BE4E5BF9077BE05F", + INIT_RAM_38 => X"0408B921FF3333FF911311FF32426FE0B58B58B58A50D94F911BE5E9B2FE65C9", + INIT_RAM_39 => X"8E1BBD1335C6176D3447B0D6D5892EB45EC00C20080022221181418C60C00C63", + INIT_RAM_3A => X"E0072538309A343FC4CC2DB18C73128B5FB767B731323899D7D88CC8A0CE1E47", + INIT_RAM_3B => X"0DE70E05FF39C9A93AB23AF8CD952C1901FF11935FBF17A745E400CDA5209F8D", + INIT_RAM_3C => X"535C5EEAA7A92B8BDC6B054864F069F86DB6DB33C92492F34349A81242750DF9", + INIT_RAM_3D => X"5182493C9708DC48DC16FDFF44F984CC37443742B1A64E2E20C112CD8670EA07", + INIT_RAM_3E => X"F34FB4803381E6000422DE7B4790140F83E0FF9A0A52948183211B82B7EFD5EF", + INIT_RAM_3F => X"A9502F88B2124127F17B756ED78C2F55B29F34D6A28CBB868BE3F7D766941733" ) port map ( DO => prom_inst_3_DO_o, @@ -492,38 +492,38 @@ begin INIT_RAM_1D => X"8D9CF9F2C2A0A9C98C29B10B0A29196D82921A421A50845094219108D1231448", INIT_RAM_1E => X"6C6C18A926619054DA2B58031A11939B64022C0890220425A08B3308C831C18C", INIT_RAM_1F => X"5112914DC61825412A2A512A12A233C09223CD935B2DA89AD18C485492490332", - INIT_RAM_20 => X"8182C041C88212130321813E12448B8302C936CC5B320B7B6082080798C38430", - INIT_RAM_21 => X"633C6499F448B34C439048A2488A5E104901058C113184EC744E9E702AC51B04", - INIT_RAM_22 => X"38E236102226821364A498A221211A1A9249304B0E10254E30C6210330260102", + INIT_RAM_20 => X"33810299849A492300B0240AE602212482C936CC5B320B7B6082081B19308846", + INIT_RAM_21 => X"633C6499F448B34C439048A2488A5E104901058C113184EC744E9E702AC51B00", + INIT_RAM_22 => X"38E236102226821364A498A221211A1A9249304B3633630786B0A77065364902", INIT_RAM_23 => X"10482806026C32436CB66C870C950A6CA22A2612509534D10080224864415B42", INIT_RAM_24 => X"0586D8C0218CB184144C4DA5CE60C0206506624123658584E416993184DA3081", - INIT_RAM_25 => X"08824100B59115F54848A4008550214A0C00001C74015FC012C0045658904840", - INIT_RAM_26 => X"0B208820044004000182050A4089044401C4EEE0103042010048000C88111112", - INIT_RAM_27 => X"0800402020C00082402416035CA4522C0408020808400B0B0088286944004010", - INIT_RAM_28 => X"B649A020C0342399C803043234DD37348D2A392A8A2008166400616412084088", - INIT_RAM_29 => X"3B210D532A8B40E44A21108940338A4D0B264C9642461014C00090A51C03730D", - INIT_RAM_2A => X"D6EC23386DD110955CEB206BFB6CE536721802E18C840440D0B6400001006680", - INIT_RAM_2B => X"810A8489404000190A140884211100654C6B1CC661D604401242129129BFDF68", - INIT_RAM_2C => X"4921211E64849210873108401410443812210199A0CC904A7212321B171A08A6", - INIT_RAM_2D => X"512432C978800030F33248040C2122200312073898091992479924906830DA12", - INIT_RAM_2E => X"436DD82EA230D0066328462240889E71EF07509704B38D1401339D2210244219", - INIT_RAM_2F => X"855420280407048D6DAD825025C232CD7C2302542269C02D920F040010A12617", - INIT_RAM_30 => X"02084A0C99844B244704C2C445324B830B029032C040008EB2E2C4104F20CC48", - INIT_RAM_31 => X"3849C03701C066E50C0091BC09C014020140A08208D8E040F20DC901882C2223", - INIT_RAM_32 => X"019649AB34849AC921218802008AA425250840A4A07DF0811584A20018A40042", - INIT_RAM_33 => X"7CCB86B330D867C9F104447096340124E511B261428007DCC8413F18C60063BD", - INIT_RAM_34 => X"841298CF6E79112554AAA9110943597618466318538866703A80431400AA864E", - INIT_RAM_35 => X"0809B18C69084F4FE9CDBE14292DCD20D299867384A0984CE6B5545ACBB2DA01", - INIT_RAM_36 => X"4EE0A938AE0ADA0B8CFEE73494888581330C0C0603206A4B3377404D39CE7519", - INIT_RAM_37 => X"9090438B1053694A522228B5568A776638562099FE9DC50BA938287139C1329C", - INIT_RAM_38 => X"8A844028911311FFBBBB99FF44C6A4636AA256AA412642AD50968EB541AB5849", - INIT_RAM_39 => X"270A94E279903440A1350D5241110A1034998C8D4556AAAA88275468CD23DAA8", - INIT_RAM_3A => X"16DC094A0006B32500812589064222494E8500862021301024430888AC890C83", - INIT_RAM_3B => X"30852090821C840DB2662EC4244D0924908481DD9C59878085327D37A5C36C34", - INIT_RAM_3C => X"FE87D2190F8900A30403C252341A311C01B6D8220E38C20340D3C24A14FF0012", - INIT_RAM_3D => X"6D80313256436E036E1250A422B2523333D933DB089171E70C08804FD1C46834", - INIT_RAM_3E => X"81829040371FE14CF38FE004270CC32065124412D4EE51572BB46DC252851084", - INIT_RAM_3F => X"8800161F206046409C93001E80089CA612968492C8904C24C300486412841940" + INIT_RAM_25 => X"08824100B59115F041C8A4008550214A0C00001C74015FC012C0045658904840", + INIT_RAM_26 => X"0B208820044004000182050A4089044401C4EEE0103042008048000C88111112", + INIT_RAM_27 => X"0800402020C000824024160050C8A1080408020808400B0B0088286944004010", + INIT_RAM_28 => X"4004C0342433390030710CC4330DC36CE4A0544A8A2008166400616412084088", + INIT_RAM_29 => X"4B034091216048E44A21108940338176C892166608C086058166605900A633F2", + INIT_RAM_2A => X"D6EC23086DD1119556440943FB6CE536721812E18C840280D0B6406C08182460", + INIT_RAM_2B => X"810A84E9404000190A140884211100654C6B1CC661D604401242129129BFDF68", + INIT_RAM_2C => X"4921211E6484921087310840141044381221011A60CC904A7212321B171A08A6", + INIT_RAM_2D => X"863432C978800030F33248040C2121200312073898091992479924906830DA12", + INIT_RAM_2E => X"4360582EA220D0066328462240889E71EF07509704B38C9401339D2210244228", + INIT_RAM_2F => X"855420280407048D6DAD825025C23235F04604AC2269C02D920F040010A12617", + INIT_RAM_30 => X"02084A0C99844B244504C2C445324B8308029032C040008EB2E2C4104F20CC48", + INIT_RAM_31 => X"3849C03709C066E50C0091BC27080A0100CA221824C2781E0245C901882C2223", + INIT_RAM_32 => X"019649AB34849AC92121A802008AA425250840A4A07DC0811584A20018A40042", + INIT_RAM_33 => X"7CCB86B330D867C9F1044470963408040511B261428007DCC8413F18C60063BD", + INIT_RAM_34 => X"A41298CF6E79112554AAA911096359742110E118538866703A80431400AA864E", + INIT_RAM_35 => X"0881B18C6D084F4FE9CDBE14292DCD20D299867384A0984CE6B5545ACBB2DA01", + INIT_RAM_36 => X"2712714382A8DA1B8CFEE7349488B333E7881A739CEA4E7318C98D48C63552A2", + INIT_RAM_37 => X"C851C3B514A529D369294D105544776674277142E249C1547141CF04E1071538", + INIT_RAM_38 => X"D5422088911311FFBBBB99FFD0C8050AB5AB5AB5AB5A5740642A1C268E819C24", + INIT_RAM_39 => X"270A94E279903440A134D35241110A1034998C8D4556AAAA882452631B352318", + INIT_RAM_3A => X"DB9600049108932500812589064222494E8500862021301024430888AC890C83", + INIT_RAM_3B => X"44D84600920040C6DD931E50CC00C321412064CA4A1412234D339F66CFC76C34", + INIT_RAM_3C => X"C36E4B7259E18DC96E25A252341A311C01B6D8220E38C2034001C20C921844D0", + INIT_RAM_3D => X"6D80313256436E036E1250A422B2523333D933DB089171E70C08804FF11B799B", + INIT_RAM_3E => X"81829040371FE16CF38FE008278CCB2065124469A5294A682A886DC252851084", + INIT_RAM_3F => X"88001616118A010023208439461325B0A92450720220E4B19826120C90301940" ) port map ( DO => prom_inst_4_DO_o, @@ -571,38 +571,38 @@ begin INIT_RAM_1D => X"724303074E65FB3C9CCCCF772489439278B4A594ADA52925295A5421A440428D", INIT_RAM_1E => X"8B93053D4A972CDF96EF7A518CA268E49508103060C184345D118C2A1710B821", INIT_RAM_1F => X"25782F5CD1A7D8C76EF6C2187E6EE88C8EEAA0796CB874A3388459CC36B768CA", - INIT_RAM_20 => X"C47E29A6E382EF4AFBF67F88C094A118D925492320CCA4849C38BE21654CD94E", - INIT_RAM_21 => X"05089510DC110E8800AE16F32027282C4C014B2972CD34294BE385CDC73884BD", - INIT_RAM_22 => X"953960AE2A2B421482552E5112EB4516A5A22DF0A322905A658C673ACE7185DD", + INIT_RAM_20 => X"AAC73D911DB44513026AF8F68466C5144925492320CCA4849C38BE38C12E5304", + INIT_RAM_21 => X"05089510DC110E8800AE16F32027282C4C014B2972CD34294BE385CDC73884BF", + INIT_RAM_22 => X"953960AE2A2B421482552E5112EB4516A5A22DF0B18318342346C88C0E4D14DD", INIT_RAM_23 => X"66B6924B2493C99DD248BA29A4C12333A658AD282D228A21691111769DD41358", INIT_RAM_24 => X"208922140A5304296312524A208204710A5295BD48B34A5CB279638A51E0C292", INIT_RAM_25 => X"00000000000081FF804284048020620482030482814407C5202988000402203F", - INIT_RAM_26 => X"8455755D02BF3282BA970A12DE68F93B82CD33E0A64B4BB86D60C3260B119000", - INIT_RAM_27 => X"9C495A7A716842A709349D6F3C146F0FD1FD28D6976936F6F32084DCEC4664F3", - INIT_RAM_28 => X"7084B942FBB8E732AC22B9B9745D12305E1A426A1E4C55AB3649BAB45C209A3E", - INIT_RAM_29 => X"FE285ED715F6A3818CC06031992DC0B3C51FBB65BCA47F1AD7FE2E064D8AAF09", - INIT_RAM_2A => X"9CB1E46AC9CF376488C285CD6C4906649837648C2F3077D8DAF4E00016D78750", - INIT_RAM_2B => X"1829195086F33775B0463990A535CCC2989C6318C278AD476404232732154589", - INIT_RAM_2C => X"6DC5B5A11AD6DB5AD1C852B4704A10D304EF2255F12AF26CE742D74C9B3E9947", - INIT_RAM_2D => X"A8595D31AA9E4F10A910E9E51987A49FAA5295E0DB004C5B6846B6DD4146236B", - INIT_RAM_2E => X"C994135CFF54548896863B6FA34B25182182EB596FD30E652242153B323338CF", - INIT_RAM_2F => X"945E41FD7C32EE8C690D8CFEDBEF878C78CEEDBAFA69F9BF741A2F813D79F0C3", - INIT_RAM_30 => X"6438E495C4379148E036167401879114CC1BF207BED65B03EA2A1C56EFB791F0", - INIT_RAM_31 => X"96F1E25EDC88A9D41D1293AE31F0B402A2FEA5AD9FA090A5551BA932FB46E409", - INIT_RAM_32 => X"37040F2FB5CCB8114049119B9192C046061088C8C7DD2DF4B937EACBB2543457", - INIT_RAM_33 => X"206D99E205B38EA3ACA3CC92183E93025732F323F12005D0D8693DE99760D69B", - INIT_RAM_34 => X"AE53F01DC8EB93688F111F336DC6D05449E2054887ACC6D21791049E6D11EC60", - INIT_RAM_35 => X"0A4F2B847A111A0DC18F343812399B7226F12CE628733011CD780098D11B9735", - INIT_RAM_36 => X"A8B044BD4B07973A80DC063DB78D5B3A9310120904E09FD2A3CB737525294FDF", - INIT_RAM_37 => X"B2200FE5CB87D918C7A66EE01F1380007941B3E1E191EB6244AC10DAA1E0A516", - INIT_RAM_38 => X"B9B664A9911311FFDDFF11FF9929FC94CE7E19B1024EC83360461CCD8388F025", - INIT_RAM_39 => X"099B195B018BBE48A416282419C7C406F1BD47BA088D1113B9BF57621773F39F", - INIT_RAM_3A => X"4C99D18CC9DC2CC666E68235DD6B99209E064526B9BEB4DCCE166EE652ED942F", - INIT_RAM_3B => X"A024443D10D1098331C339123F4A030FD8C32C3D6A7BDBB328CF1CC1A52AE889", - INIT_RAM_3C => X"F4077FB00EDF29BEA93EDEDE7F7E68486D249200820820C1EB83378C09CCCCB0", - INIT_RAM_3D => X"C9B060381E564CDE4CA0604E44E32CA00772077622D3A08E5A9994DD8194BEE0", - INIT_RAM_3E => X"3F1601C03081E00000001182B101000FF06A904FFBD71B61CF2FC99423026111", - INIT_RAM_3F => X"F800023003010A8A191DA03F070B20F619347FF61EB74D50D34F70C9C108C763" + INIT_RAM_26 => X"8455755D02BF3282BA970A12DE68F93B82CD33E0A64B4BB96D60C3260B119000", + INIT_RAM_27 => X"9C495A7A716842A709349D6F81938631D1FD28D6976936F6F32084DCEC4664F3", + INIT_RAM_28 => X"32FAFBB8CCE655A22BB325CC9721C959080FF49A9E4C55AB3649BAB45C209A3E", + INIT_RAM_29 => X"B63CDA26C6CDBD818CC06031992DB687E7E9D56CD19B7EDB368ECDAF9B76BB84", + INIT_RAM_2A => X"9CB1E4FAC9CF3764850F10856C4906649837648C2F307358DAF4EF8DB5F20CED", + INIT_RAM_2B => X"1829197086F33775B0463990A535CCC2989C6318C278AD476404232732154589", + INIT_RAM_2C => X"6DC5B5A11AD6DB5AD1C852B4704A10D304EF23C5112AF26CE742D74C9B3E9947", + INIT_RAM_2D => X"23195D31AA9E4F10A910E9E51987A69FAA5295E0DB004C5B6846B6DD4146236B", + INIT_RAM_2E => X"C99ED35CFF54548896863B6FA34B25182182EB596FD30F6523C2153B323338D4", + INIT_RAM_2F => X"945E41FD7C32EE8C690D8CFEDBEF87B1F19DDB76FA69F9BF741A2F813D79F0C3", + INIT_RAM_30 => X"6438E495C4379148E236167401879114CE1BF207BED65B03EA2A1C56EFB791F0", + INIT_RAM_31 => X"96F1E25E8C88A9D41D1293AE47885A01516A2BE1C502914E232BA936FB46E409", + INIT_RAM_32 => X"37040F2FB5CCB8114049119B9192C046061088C8C7DD25F4B937EACBB2543457", + INIT_RAM_33 => X"206D99E205B38EA3ACA3CC92183E9B89B732F323812005D0D8693DE99760D69B", + INIT_RAM_34 => X"BE53F01DC8EB93688F111F336DE6D054F102244887ACC6D21791049E6D11EC60", + INIT_RAM_35 => X"4CA72B847E111A0DC18F343812399B7226F12CE628733011CD780098D11B9735", + INIT_RAM_36 => X"142DFA83C1C1973280DC063DB78D2A3DCBEE6A4A529FC94D252A53F9294F3EBA", + INIT_RAM_37 => X"D90383E0270C6347D8631E3365D4800070E47AD885B7E0867A8D1782867FA83C", + INIT_RAM_38 => X"3CFB31A9911311FFDDFF11FFC2A445E48F48F48F48F60E0FEC8118161C7F9812", + INIT_RAM_39 => X"099B195B018BBE48A417828419C7C406F1BD47BA088D1113B9BDCC94A54FE4A5", + INIT_RAM_3A => X"932C494937137CC666E68235DD6B99209E064526B9BEB4DCCE166EE652ED942F", + INIT_RAM_3B => X"59D018AB0752128CB8367874CC4D0A655E3C58938C099067C1AAF5C6CCC96889", + INIT_RAM_3C => X"03CC8E643181D991CCC366DE7F7E68486D249200820820C1EA528AAA96B119CA", + INIT_RAM_3D => X"C9B060381C564CDE4CE0604E44E32CA00772077622D3A08E5A9994DD852E61B7", + INIT_RAM_3E => X"3F1601803081E0000000118EB181000FF06A9049646318F9BBA9C99C23026111", + INIT_RAM_3F => X"F800020458A92CB4CA43387287D74765DE091164B0AFCB66C300A4395725C763" ) port map ( DO => prom_inst_5_DO_o, @@ -650,38 +650,38 @@ begin INIT_RAM_1D => X"99997AF5E4A10B39C45926358C1E374D35831342131084508431A1089A292420", INIT_RAM_1E => X"26923B320863E459946D6A32131522930842850A142880053400245109084A10", INIT_RAM_1F => X"1A2199854E109003806212022007720037724FB96B6BAF16D04158A4976BB1A4", - INIT_RAM_20 => X"81858193C97AB6530B61862D16050C024CD124CC973313326F1C1208905B8320", + INIT_RAM_20 => X"AA9AC55D6F7771CBFE604B17F5BFD9C72CD124CC973313326F1C121C45F03411", INIT_RAM_21 => X"A0A0489EC040B49D12128C42950488988952350029351588048AB2308891525F", - INIT_RAM_22 => X"342538129A84A10378E49AE00D584D4D1A97B0DE0E90A352200022D321A3026B", + INIT_RAM_22 => X"342538129A84A10378E49AE00D584D4D1A97B0DE288B88AC0200A0004526B36B", INIT_RAM_23 => X"32E32D249269B4FB4D32690B16B1AE6CA2688AD0D911BE66348022D369E1328C", INIT_RAM_24 => X"CB24C1017109C206058989B0100100410B88620A3669350EE934C2C18E4F3893", INIT_RAM_25 => X"BEEFF7BDF7DF3F007FFCBFFBFFD043FB7DFFFBBCFE45F818DFC037FFF8FDCBC0", - INIT_RAM_26 => X"AC421084250804004C04F6D2C7833C7EA0E066A8083444DFB44A2C0CA90017F7", - INIT_RAM_27 => X"10244C4C40C000B8462456C6AAA1528DD5D80AE620193ADADF622658D5045230", - INIT_RAM_28 => X"2801AF00DE30A01D7801E133B4ED3BA8EC0A20AA0E0454D468496D4526A08C13", - INIT_RAM_29 => X"7BA40E52B09391C8884020110F73D24D810DDBAE76872408434C8C4428B25B0B", - INIT_RAM_2A => X"FFB0E3DCFFE117D05EAB80DBFEED04369C043F840DF82EEEF062600013427F1B", + INIT_RAM_26 => X"AC421084250804004C04F6D2C7833C7EA0E066A8083444DF344A2C0CA90017F7", + INIT_RAM_27 => X"10244C4C40C000B8462456C7E0C14421D5D80AE620193ADADF622658D5045230", + INIT_RAM_28 => X"1E48DE308403AF001E310EC43F0FC2CC8004942A8E0454D468496D4526A08C13", + INIT_RAM_29 => X"D391CCC35266DBC8884020110F739B6EC923010260CDA66D9B2466CA0DBC1F40", + INIT_RAM_2A => X"FFB0E3ECFFE117D457054003FEED04369C043F840DF82CEEF06264DCD9F94466", INIT_RAM_2B => X"E90A10C00351151B6014A884000100580A0825086E6805D04000020BBF376F8C", - INIT_RAM_2C => X"591B630A4D8CB63185220C6038435801542B1311B988D05866005E12038A88F6", - INIT_RAM_2D => X"952634DE41CC4E0884CDC8C406022B6F62141677815A0136C293659448009E36", - INIT_RAM_2E => X"424F33D7A8DB5479835CEA268109068610034611053BC5BCC10005A291C29FFD", - INIT_RAM_2F => X"845481D01B9274294DA9A4AA5E76A2ED6A4CA5A76A4958B5929B8C8091654455", - INIT_RAM_30 => X"001B424E4405C50000240A145502C50008029082D6D24902B26A44534D6DF09A", - INIT_RAM_31 => X"5355C07A68E8A344140891FC15D1814B02D22CC26BFAF9D114068911294C6281", - INIT_RAM_32 => X"0165014328E09CE0000488AA880880840001088085EBF6B5ED7742469AE19562", - INIT_RAM_33 => X"0A016016109B0350F115808251070940E2022BBC681016BE0B1DA934A0554A48", - INIT_RAM_34 => X"771CDC067039D64916222C00127A140CD1108DA78C0F3829520B34570000C3D5", - INIT_RAM_35 => X"5023D14208010F8670C1D810802EC93880D5604200B9FB4866A0AA040000F381", - INIT_RAM_36 => X"52CFAFF0ACF8B39FE9674B0486EBC9E0BBCF65B2D97B231BC06004124A529198", - INIT_RAM_37 => X"44D7403397F061C63100003D44AA000054B218FE6725840CA933EBE14B9F4698", - INIT_RAM_38 => X"02811600911311FFF1F3F1FFDE52312950B4D2C85FF113A5979182965E6D4BC8", - INIT_RAM_39 => X"B30210420F540544A15408800D0060002A99810D44468888000B80545E00DC2D", - INIT_RAM_3A => X"051440080415B14422ABC61D47DA8A718514211DA803EC55778CAAAAF6A0D64E", - INIT_RAM_3B => X"40040610187800E97A749CC28C28001C140882DB9C4982AA01365B8528045E20", - INIT_RAM_3C => X"1F01921E0308022209826218363038630536D9104104503001E1C28840F0F092", - INIT_RAM_3D => X"C054321ED54E7607E600420722A8DA7F21DF219B99E23D430C200146C1488838", - INIT_RAM_3E => X"65C70180302143FFFFFC7FFE259EFE1AC0320AC2D4CE01D639F4FCC042102010", - INIT_RAM_3F => X"E8002EF845C4090819140BC60C8A08A113EF26C4CC91A95400269060A0009A51" + INIT_RAM_2C => X"591B630A4D8CB63185220C6038435801542B13014988D05866005E12038A88F6", + INIT_RAM_2D => X"842634DE41CC4E0884CDC8C406022A6F62141677815A0136C293659448009E36", + INIT_RAM_2E => X"424DF3D7A8D35479835CEA268109068610034611053BC53CC18005A291C29F4A", + INIT_RAM_2F => X"845481D01B9274294DA9A4AA5E76A2B5B4994B4B6A4958B5929B8C8091654455", + INIT_RAM_30 => X"001B424E4405C50003A40A145502C5000B829082D6D24902B26A44534D6DF09A", + INIT_RAM_31 => X"5355C07A78E8A344140891FC5728C0A58142A2CB64D27C4E9E768911294C6281", + INIT_RAM_32 => X"0165014328E09CE00004888A880880840001088085EBEEB5ED7742469AE19562", + INIT_RAM_33 => X"0A016016109B0350F11580825107084022022BBC081016BE0B1DA934A0554A48", + INIT_RAM_34 => X"771CDC067039D64916222C00127A140888460DA78C0F3829520B34570000C3D5", + INIT_RAM_35 => X"2203D1420C010F8670C1D810802EC93880D5604200B9FB4866A0AA040000F381", + INIT_RAM_36 => X"E91FE1433E28B397E9674B0486EBBC006000A494A52312964A4CA46252905800", + INIT_RAM_37 => X"22705C3D11E318706318C140100000006849610323FF9F546146567D21061533", + INIT_RAM_38 => X"41608800911311FFF1F3F1FFE08E670ED4AD4AD4AD48C140113805E1820065E4", + INIT_RAM_39 => X"B30210420F540544A155AA200D0060002A99810D44468888000817294991894A", + INIT_RAM_3A => X"A29500041080414422ABC61D47DA8A718514211DA803EC55778CAAAAF6A0D64E", + INIT_RAM_3B => X"097F0842001080E7EC136C50CDB2AF3C1A35358A884094630122197DAF039E20", + INIT_RAM_3C => X"80760BB05EC00EC176058218363038630536D910410450300006E08E0C1C0961", + INIT_RAM_3D => X"C054321ED54E7607E600420722A8DA7F21DF219B99E23D430C200046D223B01D", + INIT_RAM_3E => X"65C70180302143FFFFFC7FFE251EFE1AC0320AFF8718C6000000FCC042102010", + INIT_RAM_3F => X"E8002EED2604D2607801603001120580D2A09C601024C13281C4001813809A51" ) port map ( DO => prom_inst_6_DO_o, @@ -729,38 +729,38 @@ begin INIT_RAM_1D => X"8D0C79F3A651C5B5CAB8F2DD6DBBDB6937AF3A6F733BDE39CE73BCE79BE1B240", INIT_RAM_1E => X"846D58FFF6E5DABEAB9EF54E7333A17B1F3A74E9D3A76737B1DFB3FFD8FFC9FF", INIT_RAM_1F => X"FBE3F9FDF7796CEDE781C899D67B33ECBB33EF8A861B1DFBDFFC84DEFB6DB127", - INIT_RAM_20 => X"35CEDE8DEDB2662B93CDDA3E57D5EFFB4491F68E9A3B321B69E6F1A79B539533", - INIT_RAM_21 => X"7FBECFDDF35DF13F5BF07CEF90EE8BFBFD7FF3E5E731E4EF3C8E9E3B66CC9B2D", - INIT_RAM_22 => X"BCFF3EB1F3ECDFF768E798EFFCC8191DFBDA3B93669A57527FCE53CB37976747", + INIT_RAM_20 => X"336F739DFDBE555F7E8ACDCEF7F6ED557491F68E9A3B321B69E6F1B31D3AB113", + INIT_RAM_21 => X"7FBECFDDF35DF13F5BF07CEF90EE8BFBFD7FF3E5E731E4EF3C8E9E3B66CC9B21", + INIT_RAM_22 => X"BCFF3EB1F3ECDFF768E798EFFCC8191DFBDA3B93763A63B6A5F6F2AD2B26D347", INIT_RAM_23 => X"99E638DC6E49B4DB4D23E9E33A74ED5955F4BBCAF87BBECFE4DDFF9B606562F6", INIT_RAM_24 => X"A9BE9FFFF33DBFEE72FCFD33BEFFFF5C6196E737F36933B869369A7B94FE7EED", INIT_RAM_25 => X"A2A814A51450028000A14A01400A95000004814140AA08228016400A01401440", INIT_RAM_26 => X"99EFBBEC97DDBEFAC70E0F42DE8B3C7ED8E7552E77746EC930EA5E2AA8DDBA14", - INIT_RAM_27 => X"735B79C9CD8EF6595CF28C9A4895720C37837BBA7B56D393910EAFF1877FEDDF", - INIT_RAM_28 => X"2895190ABA29962C7B9D4EAAAAAAAAAEEC57C155D14B238C78B6F8C7E7EE39B2", - INIT_RAM_29 => X"F3A25E7AB39B8AC91888442319198BED3FF68D2327C7761C3668988C4FCBB909", - INIT_RAM_2A => X"EF4557D67E26ABF5DEEFB5CEFEFC4C7E383A74882B3164E8F6B6000002376F20", + INIT_RAM_27 => X"735B79C9CD8EF6595CF28C9BC5C38A5237837BBA7B56D393910EAFF1877FEDDF", + INIT_RAM_28 => X"B26CBA298AC18F79D4AAAAAAAAAAAACC090ECFD5514B238C78B6F8C7E7EE39B2", + INIT_RAM_29 => X"9BB9CC86676698C91888442319199A7ECBB3923A6DCD36699A27E68B0D27B140", + INIT_RAM_2A => X"EF4557F67E26ABF5DF6F9296FEFC4C7E383A74882B316668F6B61EFCD2F80EE6", INIT_RAM_2B => X"44A93162972AAEB3454E7FD29CBFEEDFDA5F7FDEEFFEAA20049CA6363FA8CA35", - INIT_RAM_2C => X"38BB673F699C767392F17CE7712849C39CA4E115508AABA8AB02CB0F63955545", - INIT_RAM_2D => X"D1F6669B5BCDB6FEEBBECDDFD73736631BD3E6379B09DDB6CFDA638B67F6DE66", - INIT_RAM_2E => X"A1E9FBAF01B0AAF75769C766DBBEBFEFBDA7AC4FEFA3CB24DB12949989939AC8", - INIT_RAM_2F => X"D05700CCE06E32AD6DADFA97397615CD6BA273976165A57FB36FFC4D7830C292", - INIT_RAM_30 => X"E76F272F9DB2CF6EE716D2E765BDCFD7C73BC825C925160D62DAFAB22E92ED8C", - INIT_RAM_31 => X"3239DBB645FF3AC5F7D6D3BDB9DCE7267CEAAD9E67FEEFDF5DF58D2B180AB32B", - INIT_RAM_32 => X"ABAE03962B8A92E1484B55775555C9CA4A5298898A7FFC495F572FA93EE4E8CE", - INIT_RAM_33 => X"2A65555685DB4E53A2AA2A28A02C570AE0A872AAE2282FFD5CAB2974CAA2E64C", - INIT_RAM_34 => X"6C55DC9DF4E91492AE555CAAA4E738CE94AAA94A052AAAA89B5550AC49558EC5", - INIT_RAM_35 => X"B555CB8858531B9CF38FF4B9827F99A285D94CC209E3DB49CC6200AD55599225", - INIT_RAM_36 => X"34B0502C5B05923BE8CF463CCECA1DF3AABE8B45A2F45727D3F5EABED6B5AB98", - INIT_RAM_37 => X"AAE8A54D1CEAE5AD6A555D72AE57000013C62FDD986962AD502C1458D160D156", - INIT_RAM_38 => X"558AED55911311FFFF3333FF2EB5735AE571B9F4A00AAB73E80B45CFA0DCF400", - INIT_RAM_39 => X"275731442B968C6AB556AA8493A29485F5D94A5CAAAE555555522EEABE53D15C", - INIT_RAM_3A => X"2E559298ABAE708C55D7483BBEC775529624532E756572BAEC945D5555D54423", - INIT_RAM_3B => X"443C002C009821CFA2646644B65C42A6D4D69DC8CC390B443F34793724F9CCA1", - INIT_RAM_3C => X"7727723E4E58A5661D96C73976D179DD25A49332CB2CB272A4E7271CC1AAAA7A", - INIT_RAM_3D => X"55A27931BE4AFC4BFCA4E60C55F2AE7F277F27733988BD4E585553CD81CA1879", - INIT_RAM_3E => X"B3DC24403001800000015FFE71A001056062151F9E4418873BB67F94A7304531", - INIT_RAM_3F => X"B8003BDE4BCC1A98392D942E264429D28B377489D8FA2BC77D4C22E1C5293A53" + INIT_RAM_2C => X"38BB673F699C767392F17CE7712849C39CA4E105508AABA8AB02CB0F63955545", + INIT_RAM_2D => X"FCE6669B5BCDB6FEEBBECDDFD73736631BD3E6379B09DDB6CFDA638B67F6DE66", + INIT_RAM_2E => X"A1EE9BAF01B0AAF75769C766DBBEBFEFBDA7AC4FEFA3CB24DB12949989939A68", + INIT_RAM_2F => X"D05700CCE06E32AD6DADFA9739761535BF44E72B6165A57FB36FFC4D7830C292", + INIT_RAM_30 => X"E76F272F9DB2CF6EE616D2E765BDCFD7C63BC825C925160D62DAFAB22E92ED8C", + INIT_RAM_31 => X"3239DBB665FF3AC5F7D6D3BDE76E73933E6BAAAAFFFFFFDFF9558D2B180AB32B", + INIT_RAM_32 => X"ABAE03962B8A92E1484B55575555C9CA4A5298898A7FE4495F572FA93EE4E8CE", + INIT_RAM_33 => X"2A65555685DB4E53A2AA2A28A02C5CA150A872AA92282FFD58AB2974CAA2E64C", + INIT_RAM_34 => X"7455DC9DF4E91492AE555CAAA4C738CA5554A94A052AAAA89B5550AC49558EC5", + INIT_RAM_35 => X"5555CB885C531B9CF38FF4B9827F99A285D94CC209E3DB49CC6200AD55599225", + INIT_RAM_36 => X"1A0058A2C1559233E8CF463CCECA7D3AF5D57DAD6B5735AED6AD6AE6B5AAB975", + INIT_RAM_37 => X"5568A172ABD6B56AE6B5AAAAABAA8000729A58AB400160A058B2858340858A2C", + INIT_RAM_38 => X"AAE57555911311FFFF3333FF8EA94429CF5CF5CF5CF5A2802AB40A034500CA00", + INIT_RAM_39 => X"275731442B968C6AB557C20493A29485F5D94A5CAAAE55555552AF5AD5AB9AD6", + INIT_RAM_3A => X"CAAE252AB942A88C55D7483BBEC775529624532E756572BAEC945D5555D54423", + INIT_RAM_3B => X"405F42A5232949CFF83378F0CC008373546C74D71CC17C9622722BBCEF6BBCA1", + INIT_RAM_3C => X"13FC9FE4BF895F93FC4B173976D179DD25A49332CB2CB272A948CA9E54F90059", + INIT_RAM_3D => X"55A27931BE4AFC4BFCA4E60C55F2AE7F277F27733988BD4E585552CDB287E2BF", + INIT_RAM_3E => X"B3DC24003001800000005FFE71A001056062156996B5AD5557557F94A7304531", + INIT_RAM_3F => X"B8003BF5B1A6D362C00BB1709393CF81BA2131E0ACA6C3B003B424B852053A53" ) port map ( DO => prom_inst_7_DO_o, diff --git a/src/gowin_prom/gowin_prom_basic_kernal.ipc b/src/gowin_prom/gowin_prom_basic_kernal.ipc index d433078..cd15c4a 100644 --- a/src/gowin_prom/gowin_prom_basic_kernal.ipc +++ b/src/gowin_prom/gowin_prom_basic_kernal.ipc @@ -9,7 +9,7 @@ version=1.0 [Config] DEPTH=16384 LANG=1 -MEM_FILE=../../tools/JiffyDOS_C64_16k.mi +MEM_FILE=../../gowin_prom/c64kernal_sdplus.mi READ=0 RESET_MODE=true WIDTH=8 diff --git a/src/gowin_prom/gowin_prom_basic_kernal.vhd b/src/gowin_prom/gowin_prom_basic_kernal.vhd index 868ce56..e39da53 100644 --- a/src/gowin_prom/gowin_prom_basic_kernal.vhd +++ b/src/gowin_prom/gowin_prom_basic_kernal.vhd @@ -5,7 +5,7 @@ --Part Number: GW2AR-LV18QN88C8/I7 --Device: GW2AR-18 --Device Version: C ---Created Time: Wed Nov 01 07:29:15 2023 +--Created Time: Mon Nov 06 20:56:05 2023 library IEEE; use IEEE.std_logic_1164.all; @@ -177,37 +177,37 @@ begin INIT_RAM_1E => X"B5D925FE481A44E003A9927941B80035FED7EC264334252CE5C910200AC14F8B", INIT_RAM_1F => X"0A5161138C2DE03C9D30C06124844C0564B0000000001C1D8FC1D0C941009AB2", INIT_RAM_20 => X"13096BA9B1B21070D6B45291891042146B18302FC60D0E19354C4B2EE6B604E9", - INIT_RAM_21 => X"9004801085956D289612490EABC932CE26C4C56A651D3504D5CBD04102184405", - INIT_RAM_22 => X"ED9884E74C21586012C472218ECA8815A060C21D0A0130022B002128B32480A0", + INIT_RAM_21 => X"9004801011956D289612490EABC932CE26C4856A651D3504D5CBD04102184405", + INIT_RAM_22 => X"ED9884E74C21586012C472218ECA8815A060C21D0A0130022B000428B32480A0", INIT_RAM_23 => X"A69D7C74393B9C6A98948980432024036063840D61E3071F5201B29D36E44EEF", - INIT_RAM_24 => X"4D0A958965F8F0D35511901E1B1AF20D201B8D3C25714388395B860C1608B0A0", - INIT_RAM_25 => X"E8488F2849A2B0083224E6D790543089C1A2C2063E0944975A52D3B76C448900", - INIT_RAM_26 => X"D0497ADBA8051A277C80040800925D131C3E5056B024012BFA421D2C590049C8", + INIT_RAM_24 => X"42D0A58967040BD6DD9191CE6B00F057C01B8D3C25715388395B860C1608B0A0", + INIT_RAM_25 => X"E1388C2849A2B0083224E6D790543089C1A2C2063E0944975A72D3B76C448900", + INIT_RAM_26 => X"D0497ADBA8051A277C80010800925D131C3E5056B024012BFA421D2C590049C8", INIT_RAM_27 => X"A87A615A94F0803103096201492E5E2A2CED36D845B015086622AE5CA1950440", - INIT_RAM_28 => X"289966AD2800302015E005680441031424910C61143D1A18B20D300CED36D858", - INIT_RAM_29 => X"13F96FA84DDA6781052D95A8AC144CB202002B0596193640DC45EF0D0F311584", - INIT_RAM_2A => X"3703014E408944366780D82BFA918680D7009244143C35AADA985DF6E0D03409", - INIT_RAM_2B => X"0EF4A5CD1C479F5B877812E68E23CFAC361BF2285330DB1C31020A8081421662", - INIT_RAM_2C => X"0000AB5528040C0001FCBEB9724710EEFF0DE73641CCC99E5DF113A8F746D6B7", - INIT_RAM_2D => X"D8AD1A21051409AF66032085B7B4001EA14A14004A522424482B55A689001200", - INIT_RAM_2E => X"22C2262C00B41E4149005AAD5EAB57A1100A1690B7482846C14B69EF92E24826", - INIT_RAM_2F => X"ED2CB30A4C6D258AF80E04120123CEDF673B05FC140499DAFEDA49E081E96868", - INIT_RAM_30 => X"2905B08C6D255F25E37B6E62D0DF20C978CFFF0CB220EBCDF35D43D01001B5BB", - INIT_RAM_31 => X"010C42D026106896348633384AD4E4A1492076C6B9908624C899D78DB555EB41", - INIT_RAM_32 => X"D80000040089CC4AA52904C62609A1A9702169AF4ED6C0C21E1C894863B5A222", - INIT_RAM_33 => X"B0F139E2A462A5F39E2AA42EC79C72BB4635EED1402D21A6A912B6B587028DB6", - INIT_RAM_34 => X"62B0D71E055BCEDD2AAF4AF088B5FDFA50984A64B53C30D8281510D880792409", - INIT_RAM_35 => X"BEBD31F51A384985ABC1A0B908B82A22002E80005A02364813DC868825049897", - INIT_RAM_36 => X"58C5E1224C112141B20D7D0406D58410114212C1B24CFC6A4845B22DE61B8AD2", - INIT_RAM_37 => X"EBF521384B20892F9958A068536006FC3181135422480940102E811311196596", - INIT_RAM_38 => X"A1073602004690561468922D729CF41A5088214C8A18556A58C72D902971F7FF", - INIT_RAM_39 => X"273200849721298CCACC8B3812C20E20A862A198B04C40580200B262D0803690", - INIT_RAM_3A => X"FB600B87153E9D430BAA246F59E0C09E16B370241148124A204090A87A500BCE", - INIT_RAM_3B => X"22561A9528A168F65CD99025A01F454C81AA9528B548D99514964D012085435E", - INIT_RAM_3C => X"36980A0903D5FD4BE1803C16D0000252B952EE97374BAE3B2FABCE043273209D", - INIT_RAM_3D => X"171A7C9C2FF7B80C8EE94F7CE0A540063D7EC7440A49D39BC1914BE5890549F8", - INIT_RAM_3E => X"7EFFCFADBFD214CC0EF4801059019777E9984D8C050DF04020804106571A72A1", - INIT_RAM_3F => X"8624CA4886124924C169368905B09A6D074BF1DC6493406029A080F76DFF35EF" + INIT_RAM_28 => X"789966AD2800302015E005680441031424910C61143D1A18B20D300CED36D858", + INIT_RAM_29 => X"13F96FA84DDA6781052D95A8AC144CB202002B05960DF78687988AC2224B645A", + INIT_RAM_2A => X"3703014E408944366780D82BFA918680D7E2380E143C35AADA985DF6E0D03409", + INIT_RAM_2B => X"0EF4A5CD1C479F5B877812E68E23CFAC371BF2285330DB1C31020A8081421662", + INIT_RAM_2C => X"00002B5528040C0001FE96B9724710EEFE0DE73641CCC99E5DF113A8F746D6B7", + INIT_RAM_2D => X"DD681A21048401AF46032085B7B4ABD04A150B744A522428482B42B689009200", + INIT_RAM_2E => X"282B3E858F6F7EE891005AAD5EAB57A1100A1690B7482846C14B69EF96E04826", + INIT_RAM_2F => X"56F7F7D3B20F80490D15E84A55906E5CA047D02820CF2D55457F220A4DB4CF20", + INIT_RAM_30 => X"1DCE2B5218255F25F138500A84CCC101042B3991652961499911189C5014C890", + INIT_RAM_31 => X"06454474B61068DE5D46300A254A2671316076C6BD84DA24C899D78DB555EB70", + INIT_RAM_32 => X"D8002166F62B0885CC854325A609A1A9703306AF4ED6C0C21E1A923063B5829B", + INIT_RAM_33 => X"B0F139E2A463A39330F6C14C548C56EB4635EED1402DA1A6A912B6B587028DB6", + INIT_RAM_34 => X"ACBCD71A055BCEDD4AAF4AF09C6A3246672A60E0714C60530012F5BDB44FBE09", + INIT_RAM_35 => X"BCBD31F51A384985ABC1A01820C21524620F115FD115B019EAB4868810442424", + INIT_RAM_36 => X"58C5E1224C112141B20D7D0406D5898F2223E50A604CFC6A4845B22DE61B8AD2", + INIT_RAM_37 => X"B37BDC4F67070A40FB204B78488DB7B1437406F3B766C3439D50211311196596", + INIT_RAM_38 => X"0F18B136E478441A4FB7FB003B0C8F490F189256E0C5756810421F4262ABA77C", + INIT_RAM_39 => X"000E95B8470CE50744F22024B0A0E5D7DD7E024F7242F4DA52DC89B002C61158", + INIT_RAM_3A => X"1203228C84947071569D22AC00B654305A9974296B8B9004768694280A243AF3", + INIT_RAM_3B => X"A75FEF11822D95926B066007439F4509B2316D81849A41E51405913B43738133", + INIT_RAM_3C => X"3698090903D4DDEDF284DA6010A802019180201273A2A53C1E6064503102367C", + INIT_RAM_3D => X"171A7C9C2FF7B80C8EE94F7AA8A540043F3FD1440A49D39BC1914BDB890549F8", + INIT_RAM_3E => X"3A5366230419C1700EE03915618B799305604D8C050DF040208041065701B2A1", + INIT_RAM_3F => X"8624CA4886124924C169364905B09A6D074BF1DC649340B16922B2AB409E9DC4" ) port map ( DO => prom_inst_0_DO_o, @@ -256,37 +256,37 @@ begin INIT_RAM_1E => X"0AAA380181708088096129544151A85AB44081042A455A5A88280E9D48A90140", INIT_RAM_1F => X"0A0940266517FB162A8A9091A48854310681FFFFFFF80D1D9FE1D0D051C00020", INIT_RAM_20 => X"910D00431E4A2818912825178921030500448E08D1084B42204444401084240A", - INIT_RAM_21 => X"CB3649B6C301622932CB54D492CD15466A8708025219AC06B190C845805865DD", - INIT_RAM_22 => X"85B607BC8C528C48F465522A951010A5006E0289796D8D9B0839B0DD21210436", - INIT_RAM_23 => X"494828D92288D220380454ECEB20AC5A203F19CAC07706ABD20882AC1024BA95", - INIT_RAM_24 => X"16C1240259063098892300D5280810ECD00868AA2C5F73CC0032C408DC0CE0E3", - INIT_RAM_25 => X"2B964868F12C583268E5C30A429108210300BA2A4A80104031A929628058B821", - INIT_RAM_26 => X"8183002017D46AD5468260DBB2588022A8A35748113210AE8A128A81C1604800", - INIT_RAM_27 => X"A80224448AA0A020E0C012060921555A4B04240241A48D3A5400225403103876", - INIT_RAM_28 => X"57D20333A1C45B8D455B514706EA3050D4882A49008820502EE3031B05240200", - INIT_RAM_29 => X"290044440A08121A988AA68008A0A901BD44586024EA45AC86BC0100A8502000", - INIT_RAM_2A => X"045AA112C04B05484028011500888810310C820D0BEA587E010C0A8524250141", - INIT_RAM_2B => X"AB21C79254E8CD83559C63C92A7466C03D2C4D1C204B140B6A7AD0858BD48000", - INIT_RAM_2C => X"0000516636040C0001B6FAB9ED9D3ABAFF925042200510AF1651BC94DB115B06", - INIT_RAM_2D => X"9600030404228404111201280422A208493840175294A84CDB002500DDA00000", - INIT_RAM_2E => X"88066CC00803218F425A000008000200D10480240026122802501908A43693D0", - INIT_RAM_2F => X"2050046416DA0042A0842166A32AD12020EA5F5132E9842101B0162D1A040B2D", - INIT_RAM_30 => X"A59D1A88007665798400508185B5698A980000B20406046C0C222C2623030404", - INIT_RAM_31 => X"073C1290C818520D12C0003C0DC840114D4600B15412882808D00316CA9AB111", - INIT_RAM_32 => X"200DAC4647894C10081961444E29A2240250CA2A5696D1504086845155A58215", - INIT_RAM_33 => X"20B0000415059086410192D514C4B08A156A010753ADA41A855809620858126D", - INIT_RAM_34 => X"36A48A3032C81404CA8B5050D0000A140C2C069A61419B2648486CB5D0D56528", - INIT_RAM_35 => X"822D5A0368A14D04A2442C904DA17E8842201221030850885154328EF8A88C80", - INIT_RAM_36 => X"814500C1820012D00D68823368440E1005311A92442040810835010003001692", - INIT_RAM_37 => X"F431E1CEC162482A4010C04D73500B13210B1353625341419828018141004804", - INIT_RAM_38 => X"0759020C1304916D9ED8F23852C4007806484455120051485042D50062007FFF", - INIT_RAM_39 => X"42113202D00302222A80D81380508251400542A42045CAA36702C1E44410E201", - INIT_RAM_3A => X"12140005155112A8AAF244EB11029895242200B158C0B5D00402C061012012C5", - INIT_RAM_3B => X"14400060C71800A09008530020008054EEA162C70303102430A00010460D0828", - INIT_RAM_3C => X"3009892CCA2001D894102C9E18000000040A8060403000504286008200202081", - INIT_RAM_3D => X"1D840402C44A1396D49200114A188A0103013A5C89100F65EEFE09548C231924", - INIT_RAM_3E => X"A110B2505001A238813476AEDA6A288080610409148021435D64F3C80030C50C", - INIT_RAM_3F => X"9DC21A69205A69A6130090D34884402DC810060484D02246C2174D4A9242CA44" + INIT_RAM_21 => X"CB0648360301622932CB54D492CD15466A8728025219AC06B190C845805865DD", + INIT_RAM_22 => X"85B607BC8C528C48F465522A951010A5006E0289796D8D9B083984DD21210436", + INIT_RAM_23 => X"494828D92288D220380454ECEB00AC5A203F19CAC07706ABD20882AC1024BA95", + INIT_RAM_24 => X"A33004025A10122A8023118608E6A934DC0868AA2C5F63CC0032C408DC0CE0E3", + INIT_RAM_25 => X"2AC64A68F12C583268E5C30A429108210300BA2A4A801040258989628058B821", + INIT_RAM_26 => X"8183002017D46AD5468218DBB2588022A8A35748113210AE8A128A81C1604800", + INIT_RAM_27 => X"A80224448AA0B020E0C01A060921555A4B04240241A48D3A5400225403103876", + INIT_RAM_28 => X"27D20333A1C45B8D455B514786EA3050D48C2B4D008820502EE3039B05240200", + INIT_RAM_29 => X"290044440A08121A988AA68008A0A901BD44586024F00080542810000C402000", + INIT_RAM_2A => X"045AA112C04B05484028011500888810311000410BEA587E010C0A8524250141", + INIT_RAM_2B => X"AB21C79254E8CD83559C63C92A7466C03C2C4D1C204B140B6A7AD0858BD48000", + INIT_RAM_2C => X"0000D16630040C0001B6FAB9ED9D3ABAFED25042300510AF1651BC94DB115B06", + INIT_RAM_2D => X"9006000405248804211201280420410F9A8340035294A84CDB000680D1A00000", + INIT_RAM_2E => X"A08080A840085420025A000008000200D10480240026122802501908A04693D0", + INIT_RAM_2F => X"0040000902006480004C20BA44014880140006A644005254240028490801005D", + INIT_RAM_30 => X"2825E4820E7665798B0A9C86AEA0032B6C6502540313528220A0822884154045", + INIT_RAM_31 => X"802020207018501200C01AF182300229060600B1541F482808D00316CA9AB130", + INIT_RAM_32 => X"200DB61090008880423111454E29A2240258062A5696D150408655B155A5B000", + INIT_RAM_33 => X"20B000041505905280A029532828106A156A010753AD241A855809620858126D", + INIT_RAM_34 => X"A8048A3632C81404CA8B5050E7CB20CD012821E071065811BA00420A20454528", + INIT_RAM_35 => X"822D5A0368A14D04A2442C3300884021555584141AA107A52A14328EE408299D", + INIT_RAM_36 => X"814500C1820012D00D68823368440C502B583C026A2040810835010003001692", + INIT_RAM_37 => X"40001081017192F70806E21BEDCC050A1AA2A084204495728959218141004804", + INIT_RAM_38 => X"A86004909916D60329480240281103540B2E0490460022955A1069E000110256", + INIT_RAM_39 => X"222C963175C0114A38C2D820000422020A000A0200C281021001814490001002", + INIT_RAM_3A => X"89E8C0A15821252A000D010766A30254902403020051278A54EA27526834C862", + INIT_RAM_3B => X"1577FCF00204404050145E12CD80B400134041726510080A1810901248058020", + INIT_RAM_3C => X"3009882CCA2001045244C0278402654403340AB808406909439752D012582026", + INIT_RAM_3D => X"1D840402C44A1396D492001552188ABC0202245C89100F65EEFE09428C231924", + INIT_RAM_3E => X"A354000200800A408110002028D9424053590409148021435D64F3C80003050C", + INIT_RAM_3F => X"9DC21A69205A69A6130090D34884402DC810060484D02202A706116255310504" ) port map ( DO => prom_inst_1_DO_o, @@ -335,37 +335,37 @@ begin INIT_RAM_1E => X"00002084C160809AA1352B50E1140A3973CF8C1422483C0A01905AB1120A470A", INIT_RAM_1F => X"30114007C2EB9F503B90C080B10B5A22208C000000061D0C0FC3C0D151000021", INIT_RAM_20 => X"B0C122E6EBBA00400E10C24B0A20CB24A228541C4A49082830560F156007903B", - INIT_RAM_21 => X"A8144092818244083CD517C3EAC650C6A6DAA48A1E8CDB336CD0D06596184F0B", - INIT_RAM_22 => X"84AB84846649C04882025820CC9898019B2CB20EB325140A0110A01C3161803A", - INIT_RAM_23 => X"1B151026D0F0240F18040146116F4111300372C4771BC9E49C0080245070C8F6", - INIT_RAM_24 => X"14E864C40C88B28992010040733000E6C013A60021E7CD751B4B51358835E373", - INIT_RAM_25 => X"A8FE010C7DA3F83B3234F644F0E2F82508817D021800201F8CE64233243E7808", - INIT_RAM_26 => X"459038C897C46C253A00C4C1A21833022C3B030C9390B83EC44015198E91401C", - INIT_RAM_27 => X"A60EAC9508AE2A18CBC11516642B061CE18580012164AE3745004B9A818FB436", - INIT_RAM_28 => X"379B6C3BF8C2330D04C3412153E9F900DE0BA2CA802C8B0C1EE393518480016A", - INIT_RAM_29 => X"122D61FA42286431C4199C80FE980DB77314316DB6F360CE2878A30419141EC0", - INIT_RAM_2A => X"444699696A880236152168B660AD4601D2A4DC028DB1783DEB38C15012C10221", + INIT_RAM_21 => X"A8344192818244083CD517C3EAC650C6A6DAE48A1E8CDB336CD0D06596184F0B", + INIT_RAM_22 => X"84AB84846649C04882025820CC9898019B2CB20EB325140A0110A51C3161803A", + INIT_RAM_23 => X"1B151026D0F0240F18040146114F4111300372C4771BC9E49C0080245070C8F6", + INIT_RAM_24 => X"3A0904C40C87F9C40401106BE304035F8013A60021E7DC751B4B51358835E373", + INIT_RAM_25 => X"A776038C7DA3F83B3234F644F0E2F82508817D021800201F88E26233243E7808", + INIT_RAM_26 => X"459038C897C46C253A0011C1E21833022C3B030C9390B83EC44015198E91401C", + INIT_RAM_27 => X"A60EAC9508AE3A18CBC11D16642B061CE18580012164AE3745004B9A818FB436", + INIT_RAM_28 => X"679B6C3BF8C2330D04C34121D3E9F900DE0FA3CE802C8B0C1EE393D18480016A", + INIT_RAM_29 => X"122D61FA42286431C4199C80FE980DB77314316DB6F451820C8A0F600E4582AD", + INIT_RAM_2A => X"444699696A880236152168B660AD4601D3320A028DB1783DEB38C15012C10221", INIT_RAM_2B => X"1B92734315B5283E0DC339A18ADA94EF407394064319C35B38EA6F66688E7141", - INIT_RAM_2C => X"0000EDF84606080001BEFF9DD0CD6DCBFFA4D012298901A87736F8A5B4A1C87C", - INIT_RAM_2D => X"B6A5192D61768DAD76188503FE78800AE87A950310841D4C9B297126748C8800", - INIT_RAM_2E => X"82CEC38EA6397E0759005AA55AA956A3C32E16F0B70F1A52CA47FB9C26CFC834", - INIT_RAM_2F => X"4A04A81B0F6C9A507264105118D3A24F241B4EBD220041C878D90F06F3E558CB", - INIT_RAM_30 => X"090E9CCD0C360A25A1380420C39C16C03415B504A901AE6873CF213399818569", - INIT_RAM_31 => X"07983220675D0A06122525C7050901602105742BAC9AC3AC40915DBFC9DA75D1", - INIT_RAM_32 => X"4329042402456AEA043A04502205E50D3820D0238AA2F2C74C0D058412A89A06", - INIT_RAM_33 => X"82F93072962610E3DE80F26677EE65095015A25683C5448E1C22169483120D10", - INIT_RAM_34 => X"4523FD1807998A4CD3828A2744B6B6F70A9D4E6D942878DBB6DA32898401B9ED", - INIT_RAM_35 => X"D20A2555E4116816AB55BC20E921F4A0C6880C49321A60C8B45F261CDAA87416", - INIT_RAM_36 => X"21E522A54452DB02D5691123254180149548C7D496684E475025354DED11CE54", - INIT_RAM_37 => X"40519FF94623E8A36C9B525A3A682FFC3181988400030951900C21011984DB69", - INIT_RAM_38 => X"AD0717A24006996F566B66DF58D4163280C0E1840244654A1861080DAC937575", - INIT_RAM_39 => X"064126CCD400010A84A08B1B286BE804081008801004020B5292AA40E10010A0", - INIT_RAM_3A => X"B2260B0428FF91430F67015914C0C2C43100A2253A85A7800660C2E1587B1984", - INIT_RAM_3B => X"A916BB9728A0EAA25051CB8D90376413EE1A9528B55AD4916D124D5882B0515A", - INIT_RAM_3C => X"A69192099BFCCCC264508C1CB00002D69941AE17B70BAF512E2AC60F14136098", - INIT_RAM_3D => X"6FF9EA786CD6B55B4FFF2FF230C4C480010414294000FD71043C0402B50440F8", - INIT_RAM_3E => X"EE79CFE6F9413F580E703E879BE887372808C9A9892FE01092632CC657187095", - INIT_RAM_3F => X"A82DF593FFED92D9B7DFFF35FFEDBEDAFC3F3B086360C040208189FE24E77DDF" + INIT_RAM_2C => X"00006DF84006080001BEF79DD0CD6DCBFFE4D012398901A87736F8A5B4A1C87C", + INIT_RAM_2D => X"B52E1A2D612489AD66188503FE7AE950D8970B7310841D4A9B296526788D4800", + INIT_RAM_2E => X"AEB9EF8387FCF60F31205AA55AA956A3C32E16F0B70F1A52CA47FB9C2675C834", + INIT_RAM_2F => X"5A97D75E971DE6214F0DCA7CF1C4607C1605F69F00E53E09617BC39F9CBCA739", + INIT_RAM_30 => X"4F4E0F7B5A360A25BF18C02C8E8AA61A24CB11D16B1F41E08208809A4EB94010", + INIT_RAM_31 => X"060000203F5D0A9644A5260A055812125345742BA897C3AE40915DBFC9DA75E0", + INIT_RAM_32 => X"43290B34B7390496251D75102205E50D383E27238AA2F2C74C09C13C12A89A9A", + INIT_RAM_33 => X"82F930729622F16411A02C0C3E2A86595015A25683C5448E1C22169483120D10", + INIT_RAM_34 => X"48B7FD1C07998A4CD3828A27510B40AC55CCA07C8A7850A3511FDC94F0EB1BED", + INIT_RAM_35 => X"D00A2555E4116816AB55BDB3A0931672A0380015D601203DA0F7261CEE089429", + INIT_RAM_36 => X"21E522A54452DB02D56911232541994322004D0A58684E475025354DED11CE54", + INIT_RAM_37 => X"BB5A964A72810067538042BC4881B1A0F196A6358F26B227A28C41011984DB69", + INIT_RAM_38 => X"890855B25437F0096DEF6801ABD5E84B682BF780AC2F33154E7033E0129B8C31", + INIT_RAM_39 => X"0220101661807EA736706AC6120DB579DF13C12708CE90260034009190C2402A", + INIT_RAM_3A => X"3001820708C41420040D05670011CD484E19C6789601000D72CC367880E6F581", + INIT_RAM_3B => X"300A0398E0459CCF78F278825AB746C88A0D2DE95609E0C356804F0940518793", + INIT_RAM_3C => X"A69193099BFC44FBB4A89A47E16002B6DB5B2A7AF8A6D6BBB16804850106723B", + INIT_RAM_3D => X"6FF9EA786CD6B55B4FFF2FF000C4C488020210294000FD71043C0428B50440F8", + INIT_RAM_3E => X"3A844AC191156D840E79319479D351D3475CC989890FE01092632CC657A19095", + INIT_RAM_3F => X"A82DF593FFED92D9B7DFFFB5FFEDBEDAFC3F3B086360C0A18F2CDB8C9010C5CC" ) port map ( DO => prom_inst_2_DO_o, @@ -414,37 +414,37 @@ begin INIT_RAM_1E => X"A67BE94FAD5CE6C20BC1F27E1FF988942870F0074BE069BCEF1B56A91A4B5CEB", INIT_RAM_1F => X"304D60417BB3518F0C0BD8F7898F542E449DFFFFFFFE3D0D1FE3E1C149C012B8", INIT_RAM_20 => X"434A080800041C70C8420801030D2038118600C081C56703654C80608B19A198", - INIT_RAM_21 => X"F606800041964928884F0D4C638E230C889509A3740EDB9B6EED184112194312", - INIT_RAM_22 => X"3B5C05CE7E68182090E270C38646A4393B48741E0321AB034220306433C5989A", + INIT_RAM_21 => X"F616808045964928884F0D4C638E230C889509A3740EDB9B6EED184112194312", + INIT_RAM_22 => X"3B5C05CE7E68182090E270C38646A4393B48741E0321AB034220106433C5989A", INIT_RAM_23 => X"9B224686030124C9C8DCDD88C102C928701E7CE6B15DCA3454C1183D63211D44", - INIT_RAM_24 => X"502D9011053670D44427A0081313A885301201100934301C3AB4C2A9150236B4", - INIT_RAM_25 => X"E2698648354301C09611FE99226543CAC27A002EACB3D6BADA76F3BE28020148", - INIT_RAM_26 => X"1C5A473368311427011F0B10C482449733CC041624040103003EA22050129102", + INIT_RAM_24 => X"925DA01104232098A8A7B12A03E440179C1201100934289C3AB4C2A9150236B4", + INIT_RAM_25 => X"CD3987C8354301C09611FE99226543CAC27A002EACB3D6BADE56D3BE28020148", + INIT_RAM_26 => X"1C5A473368311427011FE2108482449733CC041624040103003EA22050129102", INIT_RAM_27 => X"804062991CFB9E83283F2C31124C0824208C49261841924466234C803E408D80", - INIT_RAM_28 => X"98B6DBC3F054F02078201E08F010C2C52F96058665311141C004A4E08C492610", - INIT_RAM_29 => X"06C55B5412224DE8D92E89AD5E8CDB6CC9D51A8B6D089240EC2109592E35AAD4", - INIT_RAM_2A => X"370F18127AFB1C37324D6EB98CAD86BFE0CA4CFF9892501892AC34010C9C4548", - INIT_RAM_2B => X"0B97F7DCAA0041FA05CF7BEE5500201DD54E7A7AD7198B5C919A6CA8C1CC6273", - INIT_RAM_2C => X"AAAAC9800204840001B4FFBDF62B8999FF64F714DBDDCB3A173DD60ECDE983F4", - INIT_RAM_2D => X"FEBDA7420D66982B6179A9A7FFD73EDEC812E5EF5AD68869936F61A90C621AAA", - INIT_RAM_2E => X"2A82024882861581036B5AAD5EAB57AC8100D686B4E33254230FF3F5B43D9B74", - INIT_RAM_2F => X"6B24AB4D1725B698802964EB9D98EC4F6990914444C859CA7A4B4C86C64D5268", - INIT_RAM_30 => X"1E3A37D925238221E97B2432730B36513ECDBDA4AA74CECCD75F69AB1DA4B16B", - INIT_RAM_31 => X"F4A44627DF86369222EE128F5254C0811D31824C452024E2939DD795744C59C7", - INIT_RAM_32 => X"DC560BA89C61E374CA500C925A40438C5AD151259222218F16B3098824889825", - INIT_RAM_33 => X"A20E19B4214911658D88440863B4B3980319CCE994C4C9362C44A719A668AD92", - INIT_RAM_34 => X"312588B23292D0953024908404B5B5FF7522946DB46D3A496CDB1ECBC1341043", - INIT_RAM_35 => X"249244034E08191080552A2C0420A820848F0C820100943BF34186888A8A0083", - INIT_RAM_36 => X"6A69881020DADB1AD00CC48001452810057283C120ECF68B1941344D6B1AD204", - INIT_RAM_37 => X"BE890AD9D44EAB0C65D2AFFB1A4B00870CB24991268AB2457946A71D51BFB6DB", - INIT_RAM_38 => X"2C19778081219AD1100A80A068189448D2DBED1CD6C815000534B175D1848A8A", - INIT_RAM_39 => X"2E325100071B1AE6C845532A103A2CC4D305D1A503D81C6112C412A2118006B5", - INIT_RAM_3A => X"9D2241B648114988B429205E5C0C52324DEEC0085748100A90AFC40A7908248A", - INIT_RAM_3B => X"6A56CA74EFBD2C526CD086A0E2A221958A8A74EFB65A081D4B0924B9B4A55B46", - INIT_RAM_3C => X"F490927912AA611244946C12200002D6A750E8F4F47A682931AF29B33641C588", - INIT_RAM_3D => X"51EB5AD62637ADBB4FFFEFFF79A7D32983030181124D4954046A1201830C10F0", - INIT_RAM_3E => X"7E7B5DAFA3440E31FE60EC1DD3C19F34ECCCA928892FFFB892412482024B332A", - INIT_RAM_3F => X"EA0B2DB6CB6492DBF6FFFFF6DB7FF6DEFD7F795C51227FFE6DFCD3B725ED34FB" + INIT_RAM_28 => X"78B6DBC3F054F02078201E08F010C2C52F96058665311141C004A4E08C492610", + INIT_RAM_29 => X"06C55B5412224DE8D92E89AD5E8CDB6CC9D51A8B6D0984AC971AD56A628D446B", + INIT_RAM_2A => X"370F18127AFB1C37324D6EB98CAD86BFE18120499892501892AC34010C9C4548", + INIT_RAM_2B => X"0B97F7DCAA0041FA05CF7BEE5500201DD4CE7A7AD7198B5C919A6CA8C1CC6273", + INIT_RAM_2C => X"AAAAC9800004840001B6F7BDF62B8999FE64F714DBDDCB3A173DD60ECDE983F4", + INIT_RAM_2D => X"FDEEA6420DB69C2B7179A9A7FFD42BDF90816B4F5AD6886B936F67190862DAAA", + INIT_RAM_2E => X"AF3BE8878FFFBC6E836B5AAD5EAB57AC8100D686B4E33254230FF3F5B0FF9B74", + INIT_RAM_2F => X"56F7D97EA765266D6F59EA14E5D146F4B65D060336ED0205754B43DC5F3DAF8D", + INIT_RAM_30 => X"AAE7A0F218238221FF38400C30CCE25248423AC1E73762B139B310ECBE898C9C", + INIT_RAM_31 => X"2E4546708F8634CE11AE16FBAF5A146A3371824C453D54E0939DD795744C59C0", + INIT_RAM_32 => X"DC562B7673C2A0300B991334DA40438C5AC7F9259222218F16B3C4082488887B", + INIT_RAM_33 => X"A20E19B4214C74E18CFC485A6E8444980319CCE994C449362C44A719A668AD92", + INIT_RAM_34 => X"481B88B43292D095102490842AC03E366648E504165CA3A1E25FFCB4FEEB9A43", + INIT_RAM_35 => X"249244034E08191080552A1CEEE90578875A158E5537C7E12AB18688AE688D98", + INIT_RAM_36 => X"6A69881020DADB1AD00CC4800145248D414184681AECF68B1941340D6B1AD204", + INIT_RAM_37 => X"7F7BDEAB74828AC55BA6ABAFA55DB21B00CE2E37B30048071880471D51BFB6DB", + INIT_RAM_38 => X"04F25C000A084284018C647C1A090410045281F268606648AA123F5230253FBF", + INIT_RAM_39 => X"788B372C4718856AE8F66AAEDA45788CA04600CA7B12E3EA31E9A04523CB466E", + INIT_RAM_3A => X"E3F3408D77A07053679D2D5C64841244C093B455B19BE79A448AE457CAE42F7E", + INIT_RAM_3B => X"B75F7BE43AC93914536656A7C02231737A354558313289245025DC3253738632", + INIT_RAM_3C => X"F490937912AA1069A4BDD243458274B6DBDA00800897024C04082D81F3487CEA", + INIT_RAM_3D => X"51EB5AD62637ADBB4FFFEFFD51A7D32583030181124D4954046A1210830C10F0", + INIT_RAM_3E => X"BE023600C39880BDFE7E1BA1F0127AC70774A908890FFFB8924124820238B32A", + INIT_RAM_3F => X"EA0B2DB6CB6492DBF6FFFFB6DB7FF6DEFD7F795C51227FA009249B0984CF0DF1" ) port map ( DO => prom_inst_3_DO_o, @@ -495,35 +495,35 @@ begin INIT_RAM_20 => X"4D83040000042810008410819E11642944D4A9A395094A530146D59541C93212", INIT_RAM_21 => X"C0060010C184C11892011024A6C40976B3C0200F008000200000C1C71830E521", INIT_RAM_22 => X"0B140508480A084804401940D524460C1240240C110180031000300CB03482B0", - INIT_RAM_23 => X"92282366080A4A14616A3099C124902A20229DD76B397B7D9960402948321046", - INIT_RAM_24 => X"051396286000A412A90900C46003C36900084B083120210410864400232AB436", - INIT_RAM_25 => X"1CC93220027409CC36266C8800082C5C2D17AA32BB84E000000004004050A508", - INIT_RAM_26 => X"852CF199BE834159D49898484C202246682124911821646ABB8548C5652064E9", + INIT_RAM_23 => X"92282366080A4A14616A3099C164902A20229DD76B397B7D9960402948321046", + INIT_RAM_24 => X"22262628600A4802460900D4180A232000084B083120280410864400232AB436", + INIT_RAM_25 => X"31613220027409CC36266C8800082C5C2D17AA32BB84E000000004004050A508", + INIT_RAM_26 => X"852CF199BE834159D49826484C202246682124911821646ABB8548C5652064E9", INIT_RAM_27 => X"193BB92249A002E49687C214C93830C99C6336D8408364C84C9891350914264C", - INIT_RAM_28 => X"028003FBF41962698B0262C015102F0C8000180103E064326BB90C2C6336D841", - INIT_RAM_29 => X"207310006DD000482C7B449185424000A00B0F40008C8CF3A01344CC7B1231B2", - INIT_RAM_2A => X"CCE2C48C061C808089B7209441A40001004B8984800C07822448A2A6E801B104", - INIT_RAM_2B => X"0BB3EC230B08CA1696DA44139796770A802730858DA460483406003004211CC8", - INIT_RAM_2C => X"CCCC300000020C0001F5FFBB09CBCBBBFF0948A106B226485775E267DDDA7C2D", - INIT_RAM_2D => X"9084B31ACF02152514F80E124940C42A00C90C00631840854861034C2C5900CC", - INIT_RAM_2E => X"55BB951BF420D425B1A1C8844A25108C0C13721B901300213C248A50C0220C12", - INIT_RAM_2F => X"3981E094E24800250F47888251244004902400039812810020940AF4A547C49A", - INIT_RAM_30 => X"B9041089984024580025830082D4A49E0B6494C1608949044514B0E2D1451B21", - INIT_RAM_31 => X"15AC86CA422891459C88D88682926609899A29111334B1519CD3B00812828019", - INIT_RAM_32 => X"DDD12844CDBC4CD418D26629C65D1894C0C904D2368C8C1030344A279DA3360C", - INIT_RAM_33 => X"B1A0CA851DC988820266241024D6A4473998C4EB2599191064C42319B7A42492", - INIT_RAM_34 => X"A6640046C842168966F3344800909094B46A344928A25092265A8312187B29B8", - INIT_RAM_35 => X"A3CCC8441261CD8D2E8702072C30AB3184850014D3611E19C9B4E0610595806A", - INIT_RAM_36 => X"00434810294E496E4010808884972C14041316D508A410B9392313E4220A14D9", - INIT_RAM_37 => X"5EEE124449201470493828C1D2512BDC0D847021E13A1CC9399E85A231000000", - INIT_RAM_38 => X"6585926081C492542452A4AB5B426C59124B2B723A4708E9570004D5F1775555", - INIT_RAM_39 => X"9B1CCC4B48EDE4113990CA1ACC3E016271666C782C4401B0033004615B20038E", - INIT_RAM_3A => X"44DED6C2724431E652AB04497346D89C86332629D0862072E00089998A4212C0", - INIT_RAM_3B => X"50B208102080230D8647650336001AEC1169122091C9636F34649AB81983C122", - INIT_RAM_3C => X"76D30678200241634233391693FC017251242512B2892586D8E0943301064CF6", - INIT_RAM_3D => X"55212C410012846909242494D000915254AC40516248AAEBFBA966AB835864D0", - INIT_RAM_3E => X"2825148A811441B00823364653646412A20429998339242800100020AA10492A", - INIT_RAM_3F => X"AAC01801A6C00092D20082906816DB4C12243037924C68004501029202945129" + INIT_RAM_28 => X"228003FBF41962698B0262C015102F0C8000180103E064326BB90C2C6336D841", + INIT_RAM_29 => X"207310006DD000482C7B449185424000A00B0F4000A8A2663D8918D933643321", + INIT_RAM_2A => X"CCE2C48C061C808089B7209441A4000101088030800C07822448A2A6E801B104", + INIT_RAM_2B => X"0BB3EC230B08CA1696DA44139796770A81A730858DA460483406003004211CC8", + INIT_RAM_2C => X"CCCCB00000020C0001F7D7BB09CBCBBBFE0948A106B226485775E267DDDA7C2D", + INIT_RAM_2D => X"9420B01ACE02152514F80E1249432540090DB900631840814861324C205800CC", + INIT_RAM_2E => X"39E021B27492909931A1C8844A25108C0C13721B901300213C248A50C02A0C12", + INIT_RAM_2F => X"4252410A0C64060338A538098F29894C4E1C304B199429270708163090E29484", + INIT_RAM_30 => X"01FA1A41044024580B018662529139593720025923531BC4CC66C4A18D932610", + INIT_RAM_31 => X"4210112CA22893408848C1085901E380881A2911132001519CD3B00812828001", + INIT_RAM_32 => X"DDD1001200D028CDC0C3098A465D1894C0C844D2368C8C1030365A679DA32568", + INIT_RAM_33 => X"B1A0CA851DCF30CB4641196C209110673998C4EB2599191064C42319B7A42492", + INIT_RAM_34 => X"99540042C842168966F33448002D76548813128A087890CDA6325010438909B8", + INIT_RAM_35 => X"A1CCC8441261CD8D2E870257719B0CC0499D00A1386A30084984E06116946645", + INIT_RAM_36 => X"00434810294E496E4010808884972824CE0488E76CA410B9392313C4220A14D9", + INIT_RAM_37 => X"D1084089CA098D08CE4366906CDC9106048AE25481048B1CCB3245A231000000", + INIT_RAM_38 => X"122DCFA46B4502906000101204020000000000B849300088A000AE0D98041094", + INIT_RAM_39 => X"171ADFFC4926054A20CB493949806AA22A2084482530AC80CE82D8A0CD091867", + INIT_RAM_3A => X"2203614D83B67244B8C937D5A4480264C05084D41934300A4C9AE4D74AB42B52", + INIT_RAM_3B => X"17F7763203610810513654E68000050713124810259A0C12D0E4921322890432", + INIT_RAM_3C => X"76D30678200200BAFE9DDB4D3645889249488F09068F00400830892021864109", + INIT_RAM_3D => X"55212C410012846909242492A800914256D640516248AAEBFBA966B5835864D0", + INIT_RAM_3E => X"FA49839821E622580810C8280E480259271829B98319242800100020AA01092A", + INIT_RAM_3F => X"AAC01801A6C00092D20082506816DB4D12243037924C6800A01600E64A1D27D6" ) port map ( DO => prom_inst_4_DO_o, @@ -572,37 +572,37 @@ begin INIT_RAM_1E => X"203DF04769B9B8FA6B19305D81B051D7AF5EAD64D27881BD14B68F8E37C6F9FF", INIT_RAM_1F => X"9C7FE033C717B56F6B71FD79D1569D5C7FDBFFFFFFFE3C3C07C1E2E1C04150A4", INIT_RAM_20 => X"4516CDCD7D7FDFBD75ADB5B5EF9D5A5F417FEC3BB596F6D7E6EFB32BD3D36874", - INIT_RAM_21 => X"CD6F1B6DEBDC56DB77BAFD0797F9E98F55BED1B6FF620019244BF6FB6FF7DC9D", + INIT_RAM_21 => X"CD7F1BEDEBDC56DB77BAFD0797F9E98F55BEF1B6FF620019244BF6FB6FF7DC9D", INIT_RAM_22 => X"134A061088B59EBD78D9FCFFE4BD1DFB36DFEFFDFEDBD6B7854B7A8B7B27F8E5", - INIT_RAM_23 => X"5242465D0F0D034082FF37CE7FFD915C681E18DEED0A5BD5B8DF0DFBE0F8E1AB", - INIT_RAM_24 => X"54142D05C737AFE6EEC747A0F4C7EFD00FE0104160ADDDCBF7FFBF7F9C6AAEAC", - INIT_RAM_25 => X"18B943D12AF03609A6BC5F11DFCB8B97F86550674E631610421011840FAF06F5", - INIT_RAM_26 => X"1E68A640C138B9398BE717F48A29C12B07C6AAAA215110131543011AA8044244", + INIT_RAM_23 => X"5242465D0F0D034082FF37CE7FDD915C681E18DEED0A5BD5B8DF0DFBE0F8E1AB", + INIT_RAM_24 => X"CF773D05C406D797A947470804FF07803FE0104160ADDD4BF7FFBF7F9C6AAEAC", + INIT_RAM_25 => X"274942512AF03609A6BC5F11DFCB8B97F86550674E631610421011840FAF06F5", + INIT_RAM_26 => X"1E68A640C138B9398BE7C5F48A29C12B07C6AAAA215110131543011AA8044244", INIT_RAM_27 => X"0096260424B977833878187803838011489C260B8FA888B2E245020A2023C183", - INIT_RAM_28 => X"A0DB6C0002318D57326CCC8BB80389E3494D435C3A010BC1D3420B989C260BBF", - INIT_RAM_29 => X"C0A7C3DFCB3FC195C01D1BFADD1F2DB703E2A9BB6D4C02F48D68C7301D7F5BAF", - INIT_RAM_2A => X"9CA7829D307088008994091DCFC810BE6B1EF91D448F8A91189F859C171F0EFF", + INIT_RAM_28 => X"30DB6C0002318D57326CCC8BB80389E3494D435C3A010BC1D3420B989C260BBF", + INIT_RAM_29 => X"C0A7C3DFCB3FC195C01D1BFADD1F2DB703E2A9BB6D5063980EBFADD7E651F98E", + INIT_RAM_2A => X"9CA7829D307088008994091DCFC810BE68A248AB448F8A91189F859C171F0EFF", INIT_RAM_2B => X"6687402424242402334D721212121201D5AF7A0C029C018CA4A704421841308C", - INIT_RAM_2C => X"5A5A00000000080001B49A9900000080FFC0F08430C8010A850EBFFFB7B3B004", - INIT_RAM_2D => X"ED01C786B66FFE467815B7C490871F04DEB76992DFF73BFBF6C069798FC5E85A", - INIT_RAM_2E => X"BBECA30D53E4D40DBF35031184C06333A3EB40F2064EB7E5CF893421BDB4B8BD", - INIT_RAM_2F => X"732E0B09DE01B6DDBF3D4A70C0CBCC906D1FBD5BD7027610820366D06348104F", - INIT_RAM_30 => X"6FC933A7218801829A432D6A09C6826D78E919CF8BB090DE82085390C0F83A40", - INIT_RAM_31 => X"ED6BAFD014920BB31DD2B1D47ABB68226F9E103088CD03546ABB884000200033", - INIT_RAM_32 => X"0AA77FD7E5ABD9D49CFEF828E59B25CE771FE0521D8D92B29DC47E028F6375A3", - INIT_RAM_33 => X"75E63EEE3FF511450846CC88C29FFCC288AD56370B8B0E275EA8E738E090C9A6", - INIT_RAM_34 => X"7E3C991746B7BDBFC3B2188C2901011EF43A1F041A883C40FE5A3948B01B0B29", - INIT_RAM_35 => X"EEC86C4759574BAFD7E8FD1ADB46CE13EFC77FEA36B565BC9DBCAF1CEB593D83", - INIT_RAM_36 => X"6E51355FF08891B81A8777E66CECCB80113B3EFF3B7F7DDFD769EEDBDEBFBDB0", - INIT_RAM_37 => X"FF6021BB9BDEA473D317E24FB6B7939E7FF75E2B5E9656239F18DF678B4DB6DB", - INIT_RAM_38 => X"0A3AA27DFB7DB6179CF6BDFFF6AB22D49DBF70D33BEE407570D7AF1FDDF5FFFF", - INIT_RAM_39 => X"A7A022F2A8E966E32513B6BF0231D5C8F78C7B2E45EBE636B679D35AD3FB622C", - INIT_RAM_3A => X"D937B186F39991D7333AF6CF92DFFD9235AAEF7AF9EF7F03BDDFF61717B449B2", - INIT_RAM_3B => X"F340E102041A87124C18DE3C7D6661F4CE0102040211E99C766DA3D5B03D1C24", - INIT_RAM_3C => X"ADBC129F9FFE6E41DE32ABF59F3333040E074180A0C04389318D5A4EB3B8FA49", - INIT_RAM_3D => X"D5821C8D666308001000401FDC21E6E0020351D3C005FFFAEAAFC02941F8C056", - INIT_RAM_3E => X"30C2090532544519F0EAB556F6555062CEDADF4FC952301C0248049104C221EA", - INIT_RAM_3F => X"B82491B7348DB649D249B4DA6D3492688048624C692A6077082CF103490820C0" + INIT_RAM_2C => X"5A5A80000000080001B4929900000080FEC0F08430C8010A850EBFFFB7B3B004", + INIT_RAM_2D => X"E80DC686B7EDFE467815B7C49084809FB4EB2066DFF73BFDF6C06E298BC5E85A", + INIT_RAM_2E => X"7383877489211F736F15031184C06333A3EB40F2064EB7E5CF893421BCCCB8BD", + INIT_RAM_2F => X"80648C582191FD68729A63D1265E760B7D60ED36B028C6BC6E6330A7A1CA296B", + INIT_RAM_30 => X"CDEC411CE18801829AFCEFB58D4126BEE4D6F7F1DEF2FFD266A2ECE499732719", + INIT_RAM_31 => X"A518083D649208FD9892B083E4431E9C99BE103088D337566ABB884000200020", + INIT_RAM_32 => X"0AA7607FEC03D1883E492882659B25CE7711DC521D8D92B29DC4F3228F637595", + INIT_RAM_33 => X"75E63EEE3FF7BEC773B605C148C898C288AD56370B8B0E275EA8E738E090C9A6", + INIT_RAM_34 => X"8E3E991546B7BDBFC3B2188C0DDAC3CA09950CBEAC2BB783B7E465218431A329", + INIT_RAM_35 => X"EEC86C4759574BAFD7E8FD9B24B7E2934932DF22B12CE83BF47CAF1CED59523B", + INIT_RAM_36 => X"6E51355FF08891B81A8777E66CECDEAEF791DBF2C57F7DDFD769EEDBDEBFBDB0", + INIT_RAM_37 => X"E6F5FDD3962956671CA9DE385BBF6BD25057856B56AF4F91EF2AFF678B4DB6DB", + INIT_RAM_38 => X"43F91D7D3FCF89F5F8C63580D06835A5B5D45C58D5344444494B2AB89A62211C", + INIT_RAM_39 => X"861C9CE4C596217A65F66E32839244E4006410347229CDC9C7CB92EE8270740E", + INIT_RAM_3A => X"A003778F26BA6C10F8EBE5DC3F8506EFE0B1BE59B194E82EED9BFE5B7EEF6F7E", + INIT_RAM_3B => X"7FDD54CC9E5F19F2D33DD743E0667D3136F2DF5C3FDE5C41BDC1BBB7C19D576F", + INIT_RAM_3C => X"ADBC139F9FFF2628AD9AB6F1A83E010083823155BEFEEBEE6EA2040CFF158533", + INIT_RAM_3D => X"D5821C8D666308001000401FFC21E68C02024DD3C005FFFAEAAFC01141F8C056", + INIT_RAM_3E => X"AFA09468D1E47339F0F7BF716DB6F7F6A6FADF4FC972301C02480491049C21EA", + INIT_RAM_3F => X"B82491B7348DB649D249B49A6D3492688048624C692A6002001C00001D158764" ) port map ( DO => prom_inst_5_DO_o, @@ -651,37 +651,37 @@ begin INIT_RAM_1E => X"00198080C0C574A551A947535EB888C68D1A28251290A90C45017AF428050500", INIT_RAM_1F => X"A0E00027DE1696A06E63409482891226D800000000041C3F0FC1E3C2C0410024", INIT_RAM_20 => X"0EC14545555281003C608C0190259F255150AD6A544B09546310556A8AD090C2", - INIT_RAM_21 => X"B1248934810F6A4D1804102C44924B2412C0601301A200100236436DB4DB6226", + INIT_RAM_21 => X"B13489B4810F6A4D1804102C44924B2412C0601301A200100236436DB4DB6226", INIT_RAM_22 => X"1302054AB2588058B02F40AA061232A5080A82AA00492892062920212074BA8C", - INIT_RAM_23 => X"120222D0000000857B968EDF52464128300372CFBDFE4B58A4AA1E80038194CC", - INIT_RAM_24 => X"41144EB1C20176A48B45A05F0838102FF001EFBE68C099B149081402A8002020", - INIT_RAM_25 => X"7EB51748137152BFA42FD000F3EF6A8A6B22AA2E2B71400A0000010A04D6A218", - INIT_RAM_26 => X"8DFE52B17AF0DD0E7735D9906C35265A796228A10F396028A9A83A791502E5AB", + INIT_RAM_23 => X"120222D0000000857B968EDF52664128300372CFBDFE4B58A4AA1E80038194CC", + INIT_RAM_24 => X"7A3EAEB1C003681002C5B0F7F800F87FC001EFBE68C0893149081402A8002020", + INIT_RAM_25 => X"7E4517C8137152BFA42FD000F3EF6A8A6B22AA2E2B71400A0000010A04D6A218", + INIT_RAM_26 => X"8DFE52B17AF0DD0E773576906C35265A796228A10F396028A9A83A791502E5AB", INIT_RAM_27 => X"DD695AAD19EFAEE1FBC8B6366A572CB5A847E59649E25AD60C6357951575ABCD", - INIT_RAM_28 => X"9FA490000235E75BD387F4E17551EACBBF8B96CB22585710AD96CD7847ACB259", - INIT_RAM_29 => X"60569004C88300F8782A09AD190E9249F8C28AD249AFCAB9E63D45B82A35A30E", - INIT_RAM_2A => X"AF8240EA3E2E7C00A34F649543E4A06B218B8D7F180A590E876DA2ACA487234C", + INIT_RAM_28 => X"2FA490000235E75BD387F4E17551EACBBF8B96CB22585710AD96CD7847ACB259", + INIT_RAM_29 => X"60569004C88300F8782A09AD190E9249F8C28AD249B8A2DC151AD1877FEC3561", + INIT_RAM_2A => X"AF8240EA3E2E7C00A34F649543E4A06B200C8421180A590E876DA2ACA487234C", INIT_RAM_2B => X"0918BFDBDBD9D80284828DEDEDECEC01DDED602F180CA8CAA0C7028404A50AAA", - INIT_RAM_2C => X"936C77800000000001B49A9900000080FFA05A016CA2A4581231400000000005", - INIT_RAM_2D => X"1484C7541F24986521301D124841EE8A4832A4CA52940949926122E95C83056C", - INIT_RAM_2E => X"FF3760D11040A28265C5D984CA61329489367633B03652510A249210A42D8E24", - INIT_RAM_2F => X"39812041E70000254105EA004C7CA004340C6A20A9DA03006000A49200024239", - INIT_RAM_30 => X"A32A1DD3B077FC7D582181180984902C146494A12084184841040880CC485321", - INIT_RAM_31 => X"A98174E51F96A7E1B6B08295318A80CA98A5A96D564416D929D2033EFF9FBFBB", - INIT_RAM_32 => X"90ABB16491D96BF45AD26ADB94996118111E7516A4ACB0DF044573A8A92B3C80", - INIT_RAM_33 => X"812E1220191099A69698A40085A425DB1BA1108789D952061C20442176404924", - INIT_RAM_34 => X"25772246B098862874B6A006A0909095946A340008211000DBEC8100DB0289F7", - INIT_RAM_35 => X"0ADA8620164BBC090480411428A222558481A53C1A390C32AA25B6C5411540C6", - INIT_RAM_36 => X"0563981031CEC80EC00C442AA7944456C40685940D6944037A33B06C621084D5", - INIT_RAM_37 => X"55DFDE406C2C25B4F79805789B192B58093072A96F980022131E8B8E21A00000", - INIT_RAM_38 => X"20B893E0C1E9D881301802015B82C001560B806AB64082C342A42035577FD555", - INIT_RAM_39 => X"920BDD9B8A9F94E671578911570031C7C144CD7D2740176003F4040813310A8F", - INIT_RAM_3A => X"0884C4D078443864DC2344783A6C9024C84C3609A6C7B0BAF1C59E87BB723690", - INIT_RAM_3B => X"6C324AF5E79C264B25CE669266C8B06F745AF5E792C860C52D0930125D91C102", - INIT_RAM_3C => X"F4D2165AAD1042510C9A4DA0F3C3C2920300217090B8612580A031525E4CCD62", - INIT_RAM_3D => X"81E50F41005084C9092424957C0088FC78F4B8007000EEBFBAFEC1402907F4C0", - INIT_RAM_3E => X"28210C858111554758222E4592E44410A200C91D0B092A9C0008001100C10A40", - INIT_RAM_3F => X"FFEDB493FFA49249F6DBFFB6DBEDB7DEB0243827212815D70860209204841079" + INIT_RAM_2C => X"936C77800000000001B4929900000080FEA05A016CA2A4581231400000000005", + INIT_RAM_2D => X"1424C7541D269C6531301D124843614F91823B025294094B926125595C82056C", + INIT_RAM_2E => X"29E561322490961925E5D984CA61329489367633B03652510A249210A05D8E24", + INIT_RAM_2F => X"C252493604C4241338C938149F0DE34DD43424021D8402052109F21090E38489", + INIT_RAM_30 => X"CFEF00C31E77FC7D5E5BC6FCBC1552123A4350D8E2565BF55591D4404B2ABE8C", + INIT_RAM_31 => X"DEC5CCE02F96A49403B09CB8D301C36EA085A96D524CCAD929D2033EFF9FBF80", + INIT_RAM_32 => X"90ABB834A7D188EF4742CAB49499611811147D16A4ACB0DF0447CD28A92B2898", + INIT_RAM_33 => X"812E1220191756656954C1580284505B1BA1108789D952061C20442176404924", + INIT_RAM_34 => X"5C152244B098862854B6A006ADFE5A78AA40CD9E2E311BE941D25010409909F7", + INIT_RAM_35 => X"0ADA8620164BBC0904804016F9F2004ACFF84A283D1477CC4035B6C544944189", + INIT_RAM_36 => X"0563981031CEC80EC00C442AA7944C2063040843536944037A33B06C621084D5", + INIT_RAM_37 => X"FF1A9439C2CBAFAA4E056795ECDDB1AFB2669EB19B46AA54D3A2CB8E21A00000", + INIT_RAM_38 => X"940E07A10302D2C54000052E0D0280010000175A456000000801A89AB0400015", + INIT_RAM_39 => X"4F5F8D686BAFD0622EDF4F700040720255008790382882E028E2E80DE8203E03", + INIT_RAM_3A => X"6011628514B026115BDD7557F4F39B5694108E581688779B46CBAE5ACCF6ED67", + INIT_RAM_3B => X"35757800FE4108DF51E4D6C28048B9F4131F6C1AB11BEF8696409E1B71BB87A6", + INIT_RAM_3C => X"F4D2175AAD1102208CB9D349F3D0009249499BF1EACEE92322B8A029E5C4C04B", + INIT_RAM_3D => X"81E50F41005084C909242495540088FF7B7BA0007000EEBFBAFEC1022907F4C0", + INIT_RAM_3E => X"E6CBA7BC684CCA97583E9300FC9350DF2254C93D0B292A9C00080011003C0A40", + INIT_RAM_3F => X"FFEDB493FFA49249F6DBFFB6DBEDB7DFB024382721281509E70079C79ED22B36" ) port map ( DO => prom_inst_6_DO_o, @@ -730,37 +730,37 @@ begin INIT_RAM_1E => X"A64D994B48AD76DD5D55D566BE1389B162C587575BA8D53940BA6EDC33C67BCF", INIT_RAM_1F => X"9119E10F004E20029954D1739B170E5CAED9FFFFFFFC3C0E2FC3E3F3F84252B4", INIT_RAM_20 => X"AF9F3AAAAAACF9F9F2D55AAB92102D58B42C55D5CB16472B167E8B5552E3B3B1", - INIT_RAM_21 => X"CF2659248B9DE61D24D26AAB16C9AAA655CAE52F2B844901244BD9E79E79E8B8", - INIT_RAM_22 => X"AF230339C8B95CB962E9F84FCC8C0CF8BA47E4FCBB499793555932DD33318234", - INIT_RAM_23 => X"5B5556BD5F5F274A9596AD57F36908D1705DC520C0D41DCF184F74D9EE7072BC", - INIT_RAM_24 => X"110407BC6DBE765FF62B9000000000000008000029AFD749B9699B14AB557171", - INIT_RAM_25 => X"7E7E5F4D7BB7F07F9297FDDD9ACF3A0EEB83FF6E7F74773F5AD6D7B76E7CF4AD", - INIT_RAM_26 => X"D9EE78FDEBF2F16AFF829ED1EF3873537EB62EBBAFB07628FFD57F7D9FB7F5FF", + INIT_RAM_21 => X"CF3659A48B9DE61D24D26AAB16C9AAA655CAE52F2B844901244BD9E79E79E8B8", + INIT_RAM_22 => X"AF230339C8B95CB962E9F84FCC8C0CF8BA47E4FCBB499793555922DD33318234", + INIT_RAM_23 => X"5B5556BD5F5F274A9596AD57F34908D1705DC520C0D41DCF184F74D9EE7072BC", + INIT_RAM_24 => X"6F6687BC6C04914C6AAB8000000000000008000029AFD7C9B9699B14AB557171", + INIT_RAM_25 => X"7F2E5F4D7BB7F07F9297FDDD9ACF3A0EEB83FF6E7F74773F5AD6D7B76E7CF4AD", + INIT_RAM_26 => X"D9EE78FDEBF2F16AFF82B7D1CF3873537EB62EBBAFB07628FFD57F7D9FB7F5FF", INIT_RAM_27 => X"5D7D5F2E5549B4F5FFE4BB66FF562EB9CDEFFFFE7D235CFB0CAB971FAB9F3E6E", - INIT_RAM_28 => X"BFDB6FFE64D5EB5DC3AB70EBA7FB3BCBE9AD174DF35D561ABDD70FBDEFFFFE71", - INIT_RAM_29 => X"B47F71FC5FF76899FE335BADFD5EADB7BBCABBEDB6FF6A7DEC7DF7BE3375BFAE", - INIT_RAM_2A => X"AA085AFF4E8EB634AB9769BFFDEDD695735791C92DBE7BBBD77CE3F7FCDD774C", - INIT_RAM_2B => X"412D3FDBDBD9DBFE00000000000000F15FBBDAA94A3DAB5795F76FCED5AD6AAA", - INIT_RAM_2C => X"1C7000000000040001B59A9908080188FFCD3A2D75ABA55ECA5A7FB7FFFBFFFF", - INIT_RAM_2D => X"4EA51B184536C9AD66916792DAD6E60AECDB44177BDE2CECDB2972E6AD09818F", - INIT_RAM_2E => X"AFFFE7DC14B2FDAC3BF9DAA55AA956A385BE76D3B77E3B65CB25BAB5B6F6DEF4", - INIT_RAM_2F => X"698D229FFC6964C9BB4FCAFB5DEF8ED5F937D5D8EECA955CAAD24FD6F7E844FF", - INIT_RAM_30 => X"BD9DBACD95880100036B8D62C3DCB6CC71E5B5CDA289EAC9D75D53FBDDC1B129", - INIT_RAM_31 => X"473C76CAE630BBC7BCC2FB7C4BDAEAEA4CACFD67FB9ED71DC9C394408020201F", - INIT_RAM_32 => X"4EFD2E66CF98E89EDEF362AAEC192B9C727BE5563C8C95D31C9C5E2AAF23342F", - INIT_RAM_33 => X"B5E07AE7BF660000032276AA40DEF4572A9CE67F2F991DBE7CEEB39CB27E8492", - INIT_RAM_34 => X"F476FF7C4F9B9EDDC7F63EE3CDB1B5ACDF7FBC6DB8AA70DBFE7EB91B9B7BBD3F", - INIT_RAM_35 => X"F7D8E377F175FDAF1789BC2D6D95DF54CECB922C5B396CD9CBBC66ADFD9DFDFE", - INIT_RAM_36 => X"49E2E7EFCDDEDB9EDFD7776EEE8D800000022EDBBE6D5CCB7863B6EDEF3B9ED1", - INIT_RAM_37 => X"5540009112617DF59B397DE5BB7B07BE5902F32BE3BB44AB995E118213892492", - INIT_RAM_38 => X"22A69765CBE6DB7EAEFBF6FE738AE4F944DA616AAFCAAAC51BD198F7F7777FFF", - INIT_RAM_39 => X"973AEECF8AFFF7BFFF74DB335732CD2EA76A3D5974D8A957273175E5F9B2728F", - INIT_RAM_3A => X"E0A6DDE6B13BB9E7FB5E40E76AC699FA9EF7765DF98FA2A8F24ADE97BA735BC2", - INIT_RAM_3B => X"321639122498EB4B46DED22EB63FFD7CFF791224974BE3D52476D7113C894972", - INIT_RAM_3C => X"249AC66DBBFCCDD3D6B97D9EDAAAAB529D422D16F68B2FA5ACACD68E33F54C69", - INIT_RAM_3D => X"7F2B7CD56AF5ADDB5B6D6DAD71AD8FFF7CFBFDFAF24DFABEABAA53E97DA776D6", - INIT_RAM_3E => X"7AEB55A9B9444444AAE2764ED3644D76AA564D1DA30B5501B6D36DA6EF1A6BBF", - INIT_RAM_3F => X"B8249801B6C00000D249B6D349B49268D4ADF32F60086A4665D9DBB769AD75CB" + INIT_RAM_28 => X"5FDB6FFE64D5EB5DC3AB70EBA7FB3BCBE9AD174DF35D561ABDD70FBDEFFFFE71", + INIT_RAM_29 => X"B47F71FC5FF76899FE335BADFD5EADB7BBCABBEDB6FEFBDF19BADFD77FE47123", + INIT_RAM_2A => X"AA085AFF4E8EB634AB9769BFFDEDD695722E8EA32DBE7BBBD77CE3F7FCDD774C", + INIT_RAM_2B => X"412D3FDBDBD9DBFE00000000000000F15F3BDAA94A3DAB5795F76FCED5AD6AAA", + INIT_RAM_2C => X"1C7000000000040001B5BA9908080188FFCD3A2D75ABA55ECA5A7FB7FFFBFFFF", + INIT_RAM_2D => X"4D2E1A1845B6CDAD76916792DAD7E95FD9CCBB777BDE2CE8DB296656A908818F", + INIT_RAM_2E => X"886F2F32A5B5BE4923D9DAA55AA956A385BE76D3B77E3B65CB25BAB5B6E6DEF4", + INIT_RAM_2F => X"52D6D75AA41DF44938DD68F09F1DE759D4C5E62E3D8D7F15717B021397E38D7D", + INIT_RAM_30 => X"8D7B5F58408801001B7BCCECBC5D7E327BC733D9E77B339555D5D4F1D932AE9D", + INIT_RAM_31 => X"DE16154D6630B9CCDE82F14B555FC77CA32CFD67FB80031DC9C3944080202000", + INIT_RAM_32 => X"4EFD2376E67B1DEFEE5AEEEAEC192B9C7271C4563C8C95D31C9EDF62AF232739", + INIT_RAM_33 => X"B5E07AE7BF67A18E53D55C557C1542C72A9CE67F2F991DBE7CEEB39CB27E8492", + INIT_RAM_34 => X"1DDCFF7C4F9B9EDDC7F63EE3C00E4DDAAF01C5FAF86A30CFB75295B5D48BBB3F", + INIT_RAM_35 => X"F5D8E377F175FDAF1789BC7A3D5224462BE2B129B8502039C6B466ADF45D7A79", + INIT_RAM_36 => X"49E2E7EFCDDEDB9EDFD7776EEE8D88A6C654DCCF4C6D5CCB7863B6CDEF3B9ED1", + INIT_RAM_37 => X"F339CCCBC72B9FEFDE2DE5BBEDCDB39FF736DEF38F6E7E75DF3AC18213892492", + INIT_RAM_38 => X"55FE9D22572AAAC945AD69561D0A85340555440AE565D5774843BEBAB2EAA53E", + INIT_RAM_39 => X"1F5FAF7EEBAEFFCF7CBF6B7090D0C55D005555A562AAD78A6B8BE2D6E8721E4E", + INIT_RAM_3A => X"F013E48FE5F076555BDDBFFFE4F7EE7C9EBBD6F13CA8202E76DFB6F24EB6FFFB", + INIT_RAM_3B => X"37D5780082EBBD9A7BA67EE7C03FF787B33A6DFBF7B34DD79C65933A73BB9533", + INIT_RAM_3C => X"249AC66DBBFC4479FE88926B22A489B6DADAABB15EBF54955572ADAE33FC5D7B", + INIT_RAM_3D => X"7F2B7CD56AF5ADDB5B6D6DAD51AD8FFF7E7EF7FAF24DFABEABAA53977DA776D6", + INIT_RAM_3E => X"EF8BBFFCF9FFEF78AAF1F93D6F9333DF273A4D1DA30B5501B6D36DA6EFC1ABBF", + INIT_RAM_3F => X"B8249801B6C00000D249B69349B49268D4ADF32F60086A80080200205EC92F7F" ) port map ( DO => prom_inst_7_DO_o, diff --git a/src/tang_nano_20k_c64.vhd b/src/tang_nano_20k_c64.vhd index 2aaf5a0..e0a70b7 100644 --- a/src/tang_nano_20k_c64.vhd +++ b/src/tang_nano_20k_c64.vhd @@ -81,6 +81,7 @@ signal clk32, clk32_locked, clk16: std_logic; attribute syn_keep : integer; attribute syn_keep of clk32 : signal is 1; +attribute syn_keep of clk16 : signal is 1; signal R_btn_joy: std_logic_vector(4 downto 0); ------------------------------------- @@ -188,13 +189,10 @@ signal cia1_pbi : unsigned(7 downto 0); signal cia1_pbo : unsigned(7 downto 0); signal cia2_pai : unsigned(7 downto 0); signal cia2_pao : unsigned(7 downto 0); -signal cia2_pbi : unsigned(7 downto 0); signal cia2_pbo : unsigned(7 downto 0); signal cia2_pbe : unsigned(7 downto 0); signal todclk : std_logic; -signal toddiv : std_logic_vector(19 downto 0); -signal toddiv3 : std_logic_vector(1 downto 0); -- video constant ntscMode : std_logic := '0'; @@ -395,10 +393,16 @@ c1541_sd : entity work.c1541_sd iec_clk_o => iec_clk_i, sd_miso => sd_dat0, - sd_cs_n => sd_dat3, - sd_mosi => sd_cmd, - sd_sclk => sd_clk, - + sd_cs_n => sd_dat3, + sd_mosi => sd_cmd, + sd_sclk => sd_clk, + + -- Userport parallel bus to 1541 disk + par_data_i => std_logic_vector(pb_o), + par_stb_i => pc2_n_o, + std_logic_vector(par_data_o) => pb_i, + par_stb_o => flag2_n_i, + dbg_act => led(1) -- LED floppy indicator ); @@ -831,7 +835,8 @@ port map ( sp_in => sp1_i, sp_out => sp1_o, cnt_in => cnt1_i, - pc_n => open, + cnt_out => cnt1_o, + tod => todclk, irq_n => irq_cia1 @@ -851,17 +856,20 @@ port map ( db_in => cpuDo, db_out => cia2Do, - pa_in => cia2_pai, + pa_in => cia2_pai and cia2_pao, pa_out => cia2_pao, - pb_in => cia2_pbi, + pb_in => (pb_i and not cia2_pbe) or (cia2_pbo and cia2_pbe), pb_out => cia2_pbo, + pb_oe => cia2_pbe, + + flag_n => flag2_n_i, + pc_n => pc2_n_o, + + sp_in => sp2_i, + sp_out => sp2_o, + cnt_in => cnt2_i, + cnt_out => cnt2_o, - flag_n => flag2_n_i, - pc_n => pc2_n_o, - sp_in => sp2_i, - sp_out => sp2_o, - cnt_in => cnt2_i, - cnt_out => cnt2_o, tod => todclk, irq_n => irq_cia2 @@ -929,6 +937,7 @@ port map ( interrupt => newScanCode, scanCode => theScanCode ); + myKeyboardMatrix: entity work.fpga64_keyboard_matrix port map ( clk => clk32, @@ -958,7 +967,6 @@ port map ( calcReset: process(clk32) begin if rising_edge(clk32) then --- if R_btn_joy(0) = '0' or R_cpu_control(0) = '1' or clk32_locked = '0' then if clk32_locked = '0' then reset_cnt <= 0; elsif sysCycle = CYCLE_CPUF then @@ -1018,7 +1026,6 @@ cia2_pai(5 downto 3) <= cia2_pao(5 downto 3); cia2_pai(2) <= pa2_i; cia2_pai(1 downto 0) <= cia2_pao(1 downto 0); pa2_o <= cia2_pao(2); -cia2_pbi <= pb_i; pb_o <= cia2_pbo; -- ----------------------------------------------------------------------- diff --git a/src/ws2812.v b/src/ws2812.v index 819caf1..475449b 100644 --- a/src/ws2812.v +++ b/src/ws2812.v @@ -32,7 +32,7 @@ always@(posedge clk) RESET:begin WS2812 <= 0; if (clk_count < DELAY_RESET) begin - clk_count <= clk_count + 1; + clk_count <= clk_count + 32'd1; end else begin clk_count <= 0; @@ -55,7 +55,7 @@ always@(posedge clk) state <= BIT_SEND_HIGH; end else begin - data_send <= data_send + 1; + data_send <= data_send + 9'd1; bit_send <= 0; state <= BIT_SEND_HIGH; @@ -67,14 +67,14 @@ always@(posedge clk) if (WS2812_data[bit_send]) if (clk_count < DELAY_1_HIGH) - clk_count <= clk_count + 1; + clk_count <= clk_count + 32'd1; else begin clk_count <= 0; state <= BIT_SEND_LOW; end else if (clk_count < DELAY_0_HIGH) - clk_count <= clk_count + 1; + clk_count <= clk_count + 32'd1; else begin clk_count <= 0; state <= BIT_SEND_LOW; @@ -86,20 +86,20 @@ always@(posedge clk) if (WS2812_data[bit_send]) if (clk_count < DELAY_1_LOW) - clk_count <= clk_count + 1; + clk_count <= clk_count + 32'd1; else begin clk_count <= 0; - bit_send <= bit_send + 1; + bit_send <= bit_send + 9'd1; state <= DATA_SEND; end else if (clk_count < DELAY_0_LOW) - clk_count <= clk_count + 1; + clk_count <= clk_count + 32'd1; else begin clk_count <= 0; - bit_send <= bit_send + 1; + bit_send <= bit_send + 9'd1; state <= DATA_SEND; end end diff --git a/tang_nano_20k_c64.gprj b/tang_nano_20k_c64.gprj index 606226f..0c50fa9 100644 --- a/tang_nano_20k_c64.gprj +++ b/tang_nano_20k_c64.gprj @@ -18,7 +18,6 @@ -