Skip to content

Commit

Permalink
pexarria10: update
Browse files Browse the repository at this point in the history
  • Loading branch information
alyxazon committed Jan 9, 2024
1 parent e21d5dc commit d185b13
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 10 deletions.
12 changes: 2 additions & 10 deletions syn/gsi_pexarria10/control/pexarria10.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -980,6 +980,7 @@ set_location_assignment PIN_AJ5 -to sfp_aux_gpio_io_extra[0]
set_location_assignment PIN_AJ6 -to sfp_tx_fault_i
set_location_assignment PIN_AJ7 -to sfp_tx_disable_o
set_location_assignment PIN_AK1 -to i2c_scl_pad_io[5]
set_location_assignment PIN_AK22 -to a_nsys_reset
set_location_assignment PIN_AK24 -to UM_AS_D[1]
set_location_assignment PIN_AK26 -to usb_slwr_o
set_location_assignment PIN_AK27 -to usb_slrd_o
Expand Down Expand Up @@ -1074,7 +1075,7 @@ set_location_assignment PIN_G22 -to psram_dq[4]
set_location_assignment PIN_G23 -to psram_wen[2]
set_location_assignment PIN_G25 -to psram_dq[8]
#set_location_assignment PIN_G26 -to clk_125m_pllref_i
set_location_assignment PIN_G26 -to psram_dq[7]
set_location_assignment PIN_G27 -to psram_dq[7]
set_location_assignment PIN_H17 -to psram_cen[1]
set_location_assignment PIN_H18 -to psram_cen[0]
set_location_assignment PIN_H20 -to psram_clk
Expand Down Expand Up @@ -1178,12 +1179,3 @@ set_location_assignment PIN_Y3 -to usbc_tx3_p[3]
set_location_assignment PIN_Y4 -to "usbc_tx3_p[3](n)"
set_location_assignment PIN_Y8 -to usbc_tx4_p[2]
set_location_assignment PIN_Y9 -to "usbc_tx4_p[2](n)"
# SFP
# Start pinning
# TCB clock
# This one is not sure connected to
#UM_AS (Not implemented)
# USB
# USBC
# VCXO
# WR_LED0_1
1 change: 1 addition & 0 deletions top/gsi_pexarria10/control/pexarria10.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -56,6 +56,7 @@ entity pexarria10 is
-----------------------------------------------------------------------
nuser_pb_i : in std_logic; -- User Button
nres_out_o : out std_logic; -- Reset MAX10
a_nsys_reset : in std_logic; -- Reset

-----------------------------------------------------------------------
-- I2C
Expand Down

0 comments on commit d185b13

Please sign in to comment.