Skip to content

Commit

Permalink
scu4slim: connect host reset signal
Browse files Browse the repository at this point in the history
  • Loading branch information
alyxazon committed Aug 27, 2024
1 parent 40e8ef9 commit dfb8ce2
Showing 1 changed file with 3 additions and 2 deletions.
5 changes: 3 additions & 2 deletions top/gsi_scu/slim4/scu4slim.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -205,7 +205,7 @@ architecture rtl of scu4slim is
signal s_psram_wen : std_logic;
signal s_psram_ubn : std_logic;
signal s_psram_lbn : std_logic;

signal s_psram_sel : std_logic_vector(3 downto 0);

signal rstn_ref : std_logic;
Expand Down Expand Up @@ -414,7 +414,8 @@ end generate;
s_i2c_sda_pad_in(1) <= avr_sda;

-- Resets
A_nReset <= rstn_ref;
A_nReset <= rstn_ref;
nFPGA_Res_Out <= rstn_ref;

-- fixed scubus signals
ADR_TO_SCUB <= '1';
Expand Down

0 comments on commit dfb8ce2

Please sign in to comment.