Skip to content

Commit

Permalink
cln
Browse files Browse the repository at this point in the history
  • Loading branch information
ryescholin committed May 26, 2024
1 parent b5b937d commit 660a7e6
Showing 1 changed file with 3 additions and 3 deletions.
6 changes: 3 additions & 3 deletions pod-operation/src/state_machine.rs
Original file line number Diff line number Diff line change
Expand Up @@ -26,7 +26,7 @@ pub enum State {
Running,
Stopped,
Halted,
Faulted
Faulted,
}

type StateTransition = fn(&mut StateMachine) -> State;
Expand All @@ -35,7 +35,7 @@ pub struct StateMachine {
last_state: State,
state: &'static Mutex<State>,
enter_actions: EnumMap<State, fn(&mut Self)>,
state_transitions: EnumMap<State, Option<Sta,teTransition>>,
state_transitions: EnumMap<State, Option<Sta, teTransition>>,
io: SocketIo,
brakes: Brakes,
signal_light: SignalLight,
Expand Down Expand Up @@ -212,7 +212,7 @@ impl StateMachine {
return State::Stopped;
}
if self.downstream_pressure_transducer.read_pressure() < MIN_PRESSURE {
return State::Halted;
return State::Faulted;
}
let default_readings: [f32; 4] = self.lim_temperature_port.read_lim_temps().into();
let alternative_readings: [f32; 4] = self.lim_temperature_starboard.read_lim_temps().into();
Expand Down

0 comments on commit 660a7e6

Please sign in to comment.