Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

update vpr and vtr #184

Merged
merged 1 commit into from
Mar 25, 2021
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
27 changes: 23 additions & 4 deletions content/items/vpr.md
Original file line number Diff line number Diff line change
Expand Up @@ -4,10 +4,29 @@ description: Open source academic CAD tool designed for the exploration of new F
authors: []
links:
docs: https://docs.verilogtorouting.org/en/latest/vpr/
tags: []
categories: [
"Tools",
"Tools:PnRs"
]
tags: [
"packing",
"placement",
"routing",
"FASM",
]
active:
from: 1997
licenses: [
"MIT"
]
talk: 124
---

This is a long description...
<!--more-->
... about VPR.
*"VPR (Versatile Place and Route) is an open source academic CAD tool designed for the exploration of new FPGA architectures and CAD algorithms, at the packing, placement and routing phases of the CAD flow \[...\]. Since its public introduction, VPR has been used extensively in many academic projects partly because it is robust, well documented, easy-to-use, and can flexibly target a range of architectures."*

*"VPR takes, as input, a description of an FPGA architecture along with a technology-mapped user circuit. It then performs packing, placement, and routing to map the circuit onto the FPGA. The output of VPR includes the FPGA configuration needed to implement the circuit and statistics about the final mapped design (eg. critical path delay, area, etc)."*

References:

- VPR is part of the [Verilog to Routing]({{< ref "/items/vtr" >}} "Verilog to Routing") project
- [FASM]({{< ref "/items/fasm" >}} "FASM")
39 changes: 35 additions & 4 deletions content/items/vtr.md
Original file line number Diff line number Diff line change
@@ -1,17 +1,48 @@
---
title: Verilog to Routing (VTR)
description: Open-source CAD tools for FPGA architecture and CAD research
description: Open Source CAD Flow for FPGA Research
authors: []
links:
web: https://verilogtorouting.org
gh: verilog-to-routing/vtr-verilog-to-routing
categories: [
"Frameworks",
"Tools",
"Tools:PnRs",
"Tools:Synthesizers"
]
tags: [
"synthesis",
"packing",
"placement",
"routing",
"sta",
"verilog",
"odin",
"ABC",
"VPR",
"FASM",
]
active:
from: 2011
licenses: [
"MIT"
]
talk: 123
---

This is a long description...
<!--more-->
... about VTR.
*"The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture."*

It performs:
* Elaboration & Synthesis (ODIN II)
* Logic Optimization & Technology Mapping (ABC)
* Packing, Placement, Routing & Timing Analysis (VPR)

*"to generate FPGA speed and area results. \[...\] VTR can also produce FASM to program some commercial FPGAs (via Symbiflow)."*

References:

- [Yosys]({{< ref "/items/yosys" >}} "Yosys")
- [Versatile Place and Route]({{< ref "/items/vpr" >}} "Versatile Place and Route")
- [SymbiFlow]({{< ref "/items/symbiflow" >}} "SymbiFlow")
- [FASM]({{< ref "/items/fasm" >}} "FASM")
Copy link
Member

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Add references to SymbiFlow and Yosys too 😉

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Ok about SymbiFlow. Not sure about Yosys 🤔 VtR uses ODIN II for synthesis and Yosys is already referenced in SymbiFlow.

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

I added SymbiFlow and I updated the dates according to verilog-to-routing/vtr-verilog-to-routing#1691.

Copy link
Member

@umarcor umarcor Mar 25, 2021

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Ok about SymbiFlow. Not sure about Yosys 🤔 VtR uses ODIN II for synthesis and Yosys is already referenced in SymbiFlow.

ODIN-II is the tool in VTR as a suite, but it is also possible to use the output from Yosys, using (E)BLIF. See #98. Someone might want to use Yosys and VTR, regardless of SymbiFlow.

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Added (Yosys, check the order)