Skip to content

Replace all asserts & assumes with macros from assertions.svh #260

Replace all asserts & assumes with macros from assertions.svh

Replace all asserts & assumes with macros from assertions.svh #260

This workflow is awaiting approval from a maintainer in #233
Triggered via pull request September 18, 2024 14:39
Status Action required
Total duration
Artifacts
This workflow is awaiting approval from a maintainer in #233

lint.yml

on: pull_request
Verilog Sources
Verilog Sources
Fit to window
Zoom out
Zoom in