Skip to content

Commit

Permalink
Merge pull request #45 from slaclab/pre-release
Browse files Browse the repository at this point in the history
v3.0.0 release candidate
  • Loading branch information
ruck314 authored Nov 21, 2019
2 parents 98b2ac3 + 89f565a commit 333ed1a
Show file tree
Hide file tree
Showing 109 changed files with 980 additions and 553 deletions.
14 changes: 9 additions & 5 deletions hardware/AlphaDataKu3/pcie/rtl/AlphaDataKu3PciePhyWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -16,10 +16,14 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;
use work.AxiLitePkg.all;
use work.AxiPciePkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
use surf.AxiLitePkg.all;

library axi_pcie_core;
use axi_pcie_core.AxiPciePkg.all;

library unisim;
use unisim.vcomponents.all;
Expand Down Expand Up @@ -178,7 +182,7 @@ architecture mapping of AlphaDataKu3PciePhyWrapper is
begin

axiClk <= clk;
U_Rst : entity work.RstPipeline
U_Rst : entity surf.RstPipeline
generic map (
TPD_G => TPD_G,
INV_RST_G => true)
Expand Down
4 changes: 2 additions & 2 deletions hardware/AlphaDataKu3/pcie/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -2,10 +2,10 @@
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl

# Load local Source Code and Constraints
loadSource -dir "$::DIR_PATH/rtl"
loadSource -lib axi_pcie_core -dir "$::DIR_PATH/rtl"

# loadIpCore -path "$::DIR_PATH/ip/AlphaDataKu3PciePhy.xci"
loadSource -path "$::DIR_PATH/ip/AlphaDataKu3PciePhy.dcp"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/ip/AlphaDataKu3PciePhy.dcp"

loadConstraints -path "$::DIR_PATH/ip/AlphaDataKu3PciePhy.xdc"
set_property PROCESSING_ORDER {EARLY} [get_files {AlphaDataKu3PciePhy.xdc}]
Expand Down
24 changes: 14 additions & 10 deletions hardware/AlphaDataKu3/rtl/AlphaDataKu3Core.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -34,11 +34,15 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

use work.StdRtlPkg.all;
use work.AxiLitePkg.all;
use work.AxiStreamPkg.all;
use work.AxiPkg.all;
use work.AxiPciePkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
use surf.AxiStreamPkg.all;
use surf.AxiPkg.all;

library axi_pcie_core;
use axi_pcie_core.AxiPciePkg.all;

library unisim;
use unisim.vcomponents.all;
Expand Down Expand Up @@ -122,7 +126,7 @@ begin

dmaClk <= sysClock;

U_Rst : entity work.RstPipeline
U_Rst : entity surf.RstPipeline
generic map (
TPD_G => TPD_G)
port map (
Expand All @@ -141,7 +145,7 @@ begin
-- AXI PCIe PHY
---------------
REAL_PCIE : if (not ROGUE_SIM_EN_G) generate
U_AxiPciePhy : entity work.AlphaDataKu3PciePhyWrapper
U_AxiPciePhy : entity axi_pcie_core.AlphaDataKu3PciePhyWrapper
generic map (
TPD_G => TPD_G)
port map (
Expand Down Expand Up @@ -172,7 +176,7 @@ begin
pciTxN => pciTxN);
end generate;
SIM_PCIE : if (ROGUE_SIM_EN_G) generate
U_sysClock : entity work.ClkRst
U_sysClock : entity surf.ClkRst
generic map (
CLK_PERIOD_G => 4 ns, -- 250 MHz
RST_START_DELAY_G => 0 ns,
Expand All @@ -185,7 +189,7 @@ begin
---------------
-- AXI PCIe REG
---------------
U_REG : entity work.AxiPcieReg
U_REG : entity axi_pcie_core.AxiPcieReg
generic map (
TPD_G => TPD_G,
ROGUE_SIM_EN_G => ROGUE_SIM_EN_G,
Expand Down Expand Up @@ -228,7 +232,7 @@ begin
---------------
-- AXI PCIe DMA
---------------
U_AxiPcieDma : entity work.AxiPcieDma
U_AxiPcieDma : entity axi_pcie_core.AxiPcieDma
generic map (
TPD_G => TPD_G,
ROGUE_SIM_EN_G => ROGUE_SIM_EN_G,
Expand Down
10 changes: 6 additions & 4 deletions hardware/AlphaDataKu3/rtl/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -16,10 +16,12 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiLitePkg.all;
use work.AxiStreamPkg.all;
use work.AxiPkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
use surf.AxiStreamPkg.all;
use surf.AxiPkg.all;

package AxiPciePkg is

Expand Down
8 changes: 5 additions & 3 deletions hardware/AlphaDataKu3/rtl/TerminateQsfp.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,9 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

use work.StdRtlPkg.all;

library surf;
use surf.StdRtlPkg.all;

library unisim;
use unisim.vcomponents.all;
Expand Down Expand Up @@ -58,7 +60,7 @@ architecture mapping of TerminateQsfp is
begin

-- Unused QSFP Port
U_QSFP0 : entity work.Gthe3ChannelDummy
U_QSFP0 : entity surf.Gthe3ChannelDummy
generic map (
TPD_G => TPD_G,
WIDTH_G => 4)
Expand All @@ -70,7 +72,7 @@ begin
gtTxN => qsfp0TxN);

-- Unused QSFP Port
U_QSFP1 : entity work.Gthe3ChannelDummy
U_QSFP1 : entity surf.Gthe3ChannelDummy
generic map (
TPD_G => TPD_G,
WIDTH_G => 4)
Expand Down
2 changes: 1 addition & 1 deletion hardware/AlphaDataKu3/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ if { $::env(PRJ_PART) != "XCKU060-FFVA1156-2-E" } {
set_property board_part alpha-data.com:adm-pcie3-ku3:part0:1.0 [current_project]

# Load local Source Code and Constraints
loadSource -dir "$::DIR_PATH/rtl"
loadSource -lib axi_pcie_core -dir "$::DIR_PATH/rtl"
loadConstraints -path "$::DIR_PATH/xdc/AlphaDataKu3Core.xdc"
loadConstraints -path "$::DIR_PATH/xdc/AlphaDataKu3App.xdc"

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,10 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;

entity AxiPcieCrossbarIpCoreWrapper is
generic (
Expand Down
18 changes: 11 additions & 7 deletions hardware/SlacPgpCardGen3/pcie/rtl/AxiPgpCardG3PciePhyWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -16,10 +16,14 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;
use work.AxiLitePkg.all;
use work.AxiPciePkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
use surf.AxiLitePkg.all;

library axi_pcie_core;
use axi_pcie_core.AxiPciePkg.all;

library unisim;
use unisim.vcomponents.all;
Expand Down Expand Up @@ -185,7 +189,7 @@ begin
O => pciRefClk,
ODIV2 => open);

U_RstSync0 : entity work.RstSync
U_RstSync0 : entity surf.RstSync
generic map (
TPD_G => TPD_G,
IN_POLARITY_G => '0',
Expand All @@ -204,7 +208,7 @@ begin

rst <= not(rstL);

U_RstSync1 : entity work.RstSync
U_RstSync1 : entity surf.RstSync
generic map (
TPD_G => TPD_G,
IN_POLARITY_G => '1',
Expand All @@ -217,7 +221,7 @@ begin
----------------------------------------
-- Synchronize the AXI-Lite transactions
----------------------------------------
U_AxiLiteAsync : entity work.AxiLiteAsync
U_AxiLiteAsync : entity surf.AxiLiteAsync
generic map (
TPD_G => TPD_G)
port map (
Expand Down
6 changes: 3 additions & 3 deletions hardware/SlacPgpCardGen3/pcie/ruckus.tcl
Original file line number Diff line number Diff line change
@@ -1,18 +1,18 @@
# Load RUCKUS environment and library
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl

loadSource -dir "$::DIR_PATH/rtl"
loadSource -lib axi_pcie_core -dir "$::DIR_PATH/rtl"

# loadIpCore -path "$::DIR_PATH/ip/AxiPgpCardG3PciePhy.xci"
loadSource -path "$::DIR_PATH/ip/AxiPgpCardG3PciePhy.dcp"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/ip/AxiPgpCardG3PciePhy.dcp"

loadConstraints -path "$::DIR_PATH/ip/AxiPgpCardG3PciePhy.xdc"
set_property PROCESSING_ORDER {EARLY} [get_files {AxiPgpCardG3PciePhy.xdc}]
set_property SCOPED_TO_REF {AxiPgpCardG3PciePhy} [get_files {AxiPgpCardG3PciePhy.xdc}]
set_property SCOPED_TO_CELLS {inst} [get_files {AxiPgpCardG3PciePhy.xdc}]

# loadIpCore -path "$::DIR_PATH/ip/AxiPcieCrossbarIpCore.xci"
loadSource -path "$::DIR_PATH/ip/AxiPcieCrossbarIpCore.dcp"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/ip/AxiPcieCrossbarIpCore.dcp"
loadConstraints -path "$::DIR_PATH/ip/AxiPcieCrossbarIpCore.xdc"

set_property PROCESSING_ORDER {LATE} [get_files {AxiPcieCrossbarIpCore.xdc}]
Expand Down
9 changes: 6 additions & 3 deletions hardware/SlacPgpCardGen3/rtl/AxiPcieCrossbar.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,11 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;
library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;

library axi_pcie_core;

entity AxiPcieCrossbar is
generic (
Expand Down Expand Up @@ -59,7 +62,7 @@ begin
-------------------
-- AXI XBAR IP Core
-------------------
U_AxiXbar : entity work.AxiPcieCrossbarIpCoreWrapper
U_AxiXbar : entity axi_pcie_core.AxiPcieCrossbarIpCoreWrapper
generic map(
TPD_G => TPD_G,
AXI_PCIE_CONFIG_G => AXI_PCIE_CONFIG_G)
Expand Down
24 changes: 14 additions & 10 deletions hardware/SlacPgpCardGen3/rtl/AxiPciePgpCardG3Core.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,11 +19,15 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;
use work.AxiLitePkg.all;
use work.AxiStreamPkg.all;
use work.AxiPciePkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
use surf.AxiLitePkg.all;
use surf.AxiStreamPkg.all;

library axi_pcie_core;
use axi_pcie_core.AxiPciePkg.all;

library unisim;
use unisim.vcomponents.all;
Expand Down Expand Up @@ -115,7 +119,7 @@ begin

dmaClk <= sysClock;

U_Rst : entity work.RstPipeline
U_Rst : entity surf.RstPipeline
generic map (
TPD_G => TPD_G)
port map (
Expand All @@ -129,7 +133,7 @@ begin
-- AXI PCIe PHY
---------------
REAL_PCIE : if (not ROGUE_SIM_EN_G) generate
U_AxiPciePhy : entity work.AxiPgpCardG3PciePhyWrapper
U_AxiPciePhy : entity axi_pcie_core.AxiPgpCardG3PciePhyWrapper
generic map (
TPD_G => TPD_G)
port map (
Expand Down Expand Up @@ -160,7 +164,7 @@ begin
pciTxN => pciTxN);
end generate;
SIM_PCIE : if (ROGUE_SIM_EN_G) generate
U_sysClock : entity work.ClkRst
U_sysClock : entity surf.ClkRst
generic map (
CLK_PERIOD_G => 4 ns, -- 250 MHz
RST_START_DELAY_G => 0 ns,
Expand All @@ -173,7 +177,7 @@ begin
---------------
-- AXI PCIe REG
---------------
U_REG : entity work.AxiPcieReg
U_REG : entity axi_pcie_core.AxiPcieReg
generic map (
TPD_G => TPD_G,
ROGUE_SIM_EN_G => ROGUE_SIM_EN_G,
Expand Down Expand Up @@ -237,7 +241,7 @@ begin
---------------
-- AXI PCIe DMA
---------------
U_AxiPcieDma : entity work.AxiPcieDma
U_AxiPcieDma : entity axi_pcie_core.AxiPcieDma
generic map (
TPD_G => TPD_G,
ROGUE_SIM_EN_G => ROGUE_SIM_EN_G,
Expand Down
10 changes: 6 additions & 4 deletions hardware/SlacPgpCardGen3/rtl/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -16,10 +16,12 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiLitePkg.all;
use work.AxiStreamPkg.all;
use work.AxiPkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
use surf.AxiStreamPkg.all;
use surf.AxiPkg.all;

package AxiPciePkg is

Expand Down
8 changes: 4 additions & 4 deletions hardware/SlacPgpCardGen3/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -16,12 +16,12 @@ if { [VersionCheck 2018.2] < 0 } {exit -1}
# 7-Series PCIe IP core appear to not support 40-bit address (even with 64-bit enabled)
#######################################################################################
# loadRuckusTcl "$::DIR_PATH/../../shared"
loadSource -path "$::DIR_PATH/../../shared/rtl/AxiPcieDma.vhd"
loadSource -path "$::DIR_PATH/../../shared/rtl/AxiPcieReg.vhd"
loadSource -path "$::DIR_PATH/../../shared/rtl/AxiPcieRegWriteDeMux.vhd"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/../../shared/rtl/AxiPcieDma.vhd"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/../../shared/rtl/AxiPcieReg.vhd"
loadSource -lib axi_pcie_core -path "$::DIR_PATH/../../shared/rtl/AxiPcieRegWriteDeMux.vhd"

# Load local Source Code and Constraints
loadSource -dir "$::DIR_PATH/rtl"
loadSource -lib axi_pcie_core -dir "$::DIR_PATH/rtl"
loadConstraints -dir "$::DIR_PATH/xdc"

# Load the primary PCIe core
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -16,8 +16,10 @@
library ieee;
use ieee.std_logic_1164.all;

use work.StdRtlPkg.all;
use work.AxiPkg.all;

library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;

entity AxiPcieCrossbarIpCoreWrapper is
generic (
Expand Down
Loading

0 comments on commit 333ed1a

Please sign in to comment.